NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.

Slides:



Advertisements
Similar presentations
DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference ITRS FEP Challenges Continued scaling will require the introduction of new materials.
Advertisements

SEQUOIA Physical & Timing Verification of Subwavelength-Scale Designs using Physical Simulation Robert Pack ( formerly of Cadence Berkeley Labs) Valery.
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
by Alexander Glavtchev
SOGANG UNIVERSITY SOGANG UNIVERSITY. SEMICONDUCTOR DEVICE LAB. Power MOSFET (3) SD Lab. SOGANG Univ. BYUNGSOO KIM.
Cambridge University Engineering Department VLSI Design Third Year Standard Project - SB1 Second Mini Lecture Web page: 12th.
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Tutorial on Subwavelength Lithography DAC 99
SOGANG UNIVERSITY SOGANG UNIVERSITY. SEMICONDUCTOR DEVICE LAB. Power MOSFETs SD Lab. SOGANG Univ. Doohyung Cho.
Ch.3 Overview of Standard Cell Design
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) Design Mask Aerial Image Latent Image Developed Resist Image Image in Resist PEB.
Advance Nano Device Lab. Fundamentals of Modern VLSI Devices 2 nd Edition Yuan Taur and Tak H.Ning 0 Ch5. CMOS Performance Factors.
11/5/2004EE 42 fall 2004 lecture 281 Lecture #28 PMOS LAST TIME: NMOS Electrical Model – NMOS physical structure: W and L and d ox, TODAY: PMOS –Physical.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 18: Scaling Theory Prof. Sherief Reda Division of Engineering, Brown University.
April 16th, Photomask Japan 2008 Electrical Metrics for Lithographic Line-End Tapering Puneet Gupta 3,
11/3/2004EE 42 fall 2004 lecture 271 Lecture #27 MOS LAST TIME: NMOS Electrical Model – Describing the I-V Characteristics – Evaluating the effective resistance.
Digital Integrated Circuits A Design Perspective
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Toward a Methodology for Manufacturability-Driven Design Rule Exploration Luigi Capodieci, Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, and Jie Yang.
Lecture 7: Power.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
© Digital Integrated Circuits 2nd Devices VLSI Devices  Intuitive understanding of device operation  Fundamental analytic models  Manual Models  Spice.
Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,
Optional Reading: Pierret 4; Hu 3
MOS Capacitors MOS capacitors are the basic building blocks of CMOS transistors MOS capacitors distill the basic physics of MOS transistors MOS capacitors.
L. Karklin, S. Mazor, D.Joshi1, A. Balasinski2, and V. Axelrad3
Ch.8 Layout Verification TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
2. Transistors and Layout Fabrication techniques Transistors and wires Design rule for layout Basic concepts and tools for Layout.
NOTICES Project proposal due now Format is on schedule page
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 2 A Circuit Design Example.
© Digital Integrated Circuits 2nd Devices Digital Integrated Circuits A Design Perspective The Devices Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
CAD for Physical Design of VLSI Circuits
Limitations of Digital Computation William Trapanese Richard Wong.
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – Lab 7 MOSFET Parameters.
Modern VLSI Design 3e: Chapter 2 Copyright  1998, 2002 Prentice Hall PTR Topics n Design rules and fabrication. n SCMOS scalable design rules. n Stick.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Penn ESE370 Fall Townley & DeHon ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 5, 2011 Layout and.
Device Characterization ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May April 1, 2004.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Modern VLSI Design 3e: Chapter 2Partly from 2002 Prentice Hall PTR week4-1 Lecture 10 Wire and Via Jan. 27, 2003.
1 Chapter 5. Metal Oxide Silicon Field-Effect Transistors (MOSFETs)
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
CMOS Fabrication nMOS pMOS.
VLSI Design Lecture 3: Parasitics of CMOS Wires Mohammad Arjomand CE Department Sharif Univ. of Tech. Adapted with modifications from Harris’s lecture.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
Basics of Energy & Power Dissipation
AoE Project Nano-Process Modeling: Lithography modeling and device fabrication Philip Chan, Mansun Chan Department of ECE, HKUST Edmund Lam Department.
CHAPTER 6: MOSFET & RELATED DEVICES CHAPTER 6: MOSFET & RELATED DEVICES Part 2.
Z. Feng MTU EE4800 CMOS Digital IC Design & Analysis 6.1 EE4800 CMOS Digital IC Design & Analysis Lecture 6 Power Zhuo Feng.
Design For Manufacturability in Nanometer Era
PROCEED: Pareto Optimization-based Circuit-level Evaluation Methodology for Emerging Devices Shaodi Wang, Andrew Pan, Chi-On Chui and Puneet Gupta Department.
Feature-level Compensation & Control F LCC Lithography April 5, 2006 A UC Discovery Project.
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
RTL Simulator for VChip 1999/11/11 이재곤. RTL Simulator for VChip  현재 상황 Compiled-code 로 변환 중  VBS 의 내장된 obj 파일을 이용하려 하였으나 제 대로 구현되어 있지 않음  Obj 파일 :
THE CMOS INVERTER.
The Interconnect Delay Bottleneck.
MOSFET The MOSFET (Metal Oxide Semiconductor Field Effect Transistor) transistor is a semiconductor device which is widely used for switching and amplifying.
Layout of CMOS Circuits
20-NM CMOS DESIGN.
INTRODUCTION: MD. SHAFIQUL ISLAM ROLL: REGI:
EE141 Chapter 3 VLSI Design The Devices March 28, 2003.
Chapter 10: IC Technology
Impact of Parameter Variations on Multi-core chips
Chapter 10: IC Technology
Reading (Rabaey et al.): Sections 3.5, 5.6
Technology scaling Currently, technology scaling has a threefold objective: Reduce the gate delay by 30% (43% increase in frequency) Double the transistor.
Chapter 10: IC Technology
Beyond Si MOSFETs Part IV.
Presentation transcript:

NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad Sequoia Design Systems D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad Sequoia Design Systems

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 INTRODUCTION  At the 65nm node interaction between process and design can lead to manufacturability crisis  Methodology for assessing tradeoffs between device, circuit and process limits  Use simulation tools to investigate different scenarios for optimum tradeoffs  Electrical and Physical simulations  At the 65nm node interaction between process and design can lead to manufacturability crisis  Methodology for assessing tradeoffs between device, circuit and process limits  Use simulation tools to investigate different scenarios for optimum tradeoffs  Electrical and Physical simulations

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Technology variants  Every Technology node has variants to address different market segment needs  Transistors with different parameters are offered based on application needs  Device specifications should drive manufacturability requirements that impact overall costs  One size does not fit all !!  Every Technology node has variants to address different market segment needs  Transistors with different parameters are offered based on application needs  Device specifications should drive manufacturability requirements that impact overall costs  One size does not fit all !!

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 High Volume Market segments  Low Power (Cellphones/PDA)  Low operating voltage(<1V)  Low operating frequency (<200MHz)  High density  Low active and standby current  Low cost  High Performance (PC/Server/Graphics )  Nominal operating voltage  High operating frequency (>2GHz)  High density  Low Power (Cellphones/PDA)  Low operating voltage(<1V)  Low operating frequency (<200MHz)  High density  Low active and standby current  Low cost  High Performance (PC/Server/Graphics )  Nominal operating voltage  High operating frequency (>2GHz)  High density

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Technology Elements Cell Chip Row Block MOSFET

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Design flow Architectural Design Synthesis Floorplanning Place and route LVS, DRC, Extract OPC Phase Shifting Silicon Verification SubW Libs. OPC Phase Shifting Silicon Verification Final Analysis & Verification Silicon Simulation Device Models Design rules Functional specs RTL design Silicon Verification Device Simulation

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Key formulas Lithography Min Pitch = k 1 /NA DOF = k 2 /NA 2 Lithography Min Pitch = k 1 /NA DOF = k 2 /NA 2  V dd - Supply Voltage  L - Gate length  W - Gate width  C ox - Gate capacitance  V th - Threshold voltage  I on - Fully on current  I off - Off state current Device I on = v sat W C ox (V dd - V dsat ) V dsat when L I off = exp(-V th /S) where S= 80mV/decade Circuit Delay time = C l V dd /I on; C l - avg load capacitance Dynamic power = nC l V dd 2 f n - avg number of switching events

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Device simulation Electrical Parameters  V dd - Supply Voltage  L - Gate length  W - Gate width  t ox - Oxide thickness  x j – Junction depth  I on - Fully on current  I off - Off state current L Spacer xjxj Transistor cross-section Gate Source Drain t ox

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Device currents vs L TypeV dd (V) L (nm) I on (ma/  m) I off (A/  m) High Performance Short E E E-10 Low Power0.925-Short E E E-11

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Device Characteristics Hi Perf Lo Pwr Ion vs Ioff characteristics for device technology Gate oxide Lo Pwr - 16  Hi Perf - 13  Ion vs Ioff characteristics for device technology Gate oxide Lo Pwr - 16  Hi Perf - 13  Off state leakage vs Gate length for both device technologies Hi Perf Lo Pwr

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Electrical criteria #CD (nm) Aerial Image contours overlaid on drawn features showing CD variation along length of gate. CD at listed sites shown in table. Avg CD (excluding 4) = 61nm Use OPC to bring avg CD back to 65nm Active 65nm

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Cell generation  Technology file -process design rules and recommended rules  Define architecture (cell height, power rails etc)  Input circuit netlist  Cell placed, routed and compacted  View completed cell and if necessary modify, layout and re-compact  Technology file -process design rules and recommended rules  Define architecture (cell height, power rails etc)  Input circuit netlist  Cell placed, routed and compacted  View completed cell and if necessary modify, layout and re-compact

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Same function ;Different Drive And 2X4 And 2X0

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Cell placement & Circuit Timing tgtg titi A B Timing delay between A and B is the sum of delays through individual cells(t g ) and across interconnects (t i )

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Lithography choices with 193nm Att PSMStrong Phase shiftLayout Best contrast and DOF with Strong Phase shift NA 0.85 OAI

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 FullPhase layer generation Original Active and Poly layers Trim layer Phase shift layers

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Aerial Image using 193nm NA = 0.75; sigma=0.4; dose = 3X NA = 0.75; sigma=0.4; dose = 1X

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Manufacturability analysis Analysis of simulated images show following areas of improvement  Process  Improved Depth of Focus (DOF) by changing poly pitch from 160nm to 180nm  Better CD control - less OPC  Electrical  Poly contact pads shrink substantially leading to high contact resistance.  Poly-contact overlap improved by going to larger contacts and larger poly extensions Analysis of simulated images show following areas of improvement  Process  Improved Depth of Focus (DOF) by changing poly pitch from 160nm to 180nm  Better CD control - less OPC  Electrical  Poly contact pads shrink substantially leading to high contact resistance.  Poly-contact overlap improved by going to larger contacts and larger poly extensions

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Impact of Design rules Poly pitch – 160nm Contacts – 80nm Contact extension – 35nm Poly pitch – 180nm Contacts – 90nm Contact extension – 45nm

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Simulation of new cells Defocus 100nm Defocus 0nm Cell with larger poly pitch and larger contact pads

NUMERICAL TECHNOLOGIES, INC. SPIE /27/03 Summary  Simulation of device characteristics allow the circuit impact of lithography variations to be assessed  Strong Alt PSM needed for printing poly features using 193nm  Automated layout tools allow tradeoff between layout design rules, circuit density and manufacturability  Simulation of device characteristics allow the circuit impact of lithography variations to be assessed  Strong Alt PSM needed for printing poly features using 193nm  Automated layout tools allow tradeoff between layout design rules, circuit density and manufacturability