FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n Circuit design for FPGAs: –Logic elements. –Interconnect.

Slides:



Advertisements
Similar presentations
Digital Integrated Circuits© Prentice Hall 1995 Combinational Logic COMBINATIONAL LOGIC.
Advertisements

FPGA-Based System Design: Chapter 2 Copyright 2003 Prentice Hall PTR Gate Design n Static complementary logic gate structures. n Switch logic. n Other.
Digital Design: Combinational Logic Blocks
COEN 180 SRAM. High-speed Low capacity Expensive Large chip area. Continuous power use to maintain storage Technology used for making MM caches.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 5 Programmable.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR SRAM-based FPGA n SRAM-based LE –Registers in logic elements –LUT-based logic element.
Introduction to CMOS VLSI Design Lecture 13: SRAM
ECE Synthesis & Verification - Lecture 0 1 ECE 697B (667) Spring 2006 ECE 697B (667) Spring 2006 Synthesis and Verification of Digital Circuits VLSI.
FPGA structure and programming - Eli Kaminsky 1 FPGA structure and programming.
Modern VLSI Design: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Combinational logic functions n Static complementary logic gate structures.
Lecture 2: Field Programmable Gate Arrays I September 5, 2013 ECE 636 Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays I.
Modern VLSI Design 2e: Chapter4 Copyright  1998 Prentice Hall PTR.
Introduction to CMOS VLSI Design SRAM/DRAM
Lecture 3: Field Programmable Gate Arrays II September 10, 2013 ECE 636 Reconfigurable Computing Lecture 3 Field Programmable Gate Arrays II.
CSCE 211: Digital Logic Design Chin-Tser Huang University of South Carolina.
Evolution of implementation technologies
Modern VLSI Design 2e: Chapter 5 Copyright  1998 Prentice Hall PTR Topics n Memory elements. n Basics of sequential machines.
Topics Combinational logic functions.
Multiplexers, Decoders, and Programmable Logic Devices
Digital Integrated Circuits© Prentice Hall 1995 Arithmetic Arithmetic Building Blocks.
Digital Integrated Circuits© Prentice Hall 1995 Combinational Logic COMBINATIONAL LOGIC.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 3 ASIC.
Digital Integrated Circuits for Communication
CSET 4650 Field Programmable Logic Devices
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 7 Programmable.
Lecture 2: Field Programmable Gate Arrays September 13, 2004 ECE 697F Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays.
Modern VLSI Design 4e: Chapter 7 Copyright  2008 Wayne Wolf Topics Global interconnect. Power/ground routing. Clock routing. Floorplanning tips. Off-chip.
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR HDL coding n Synthesis vs. simulation semantics n Syntax-directed translation n.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 2 CMOS.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n Latches and flip-flops. n RAMs and ROMs.
Modern VLSI Design 4e: Chapter 6 Copyright  2008 Wayne Wolf Topics Memories: –ROM; –SRAM; –DRAM; –Flash. Image sensors. FPGAs. PLAs.
FPGA-Based System Design: Chapter 2 Copyright  2003 Prentice Hall PTR Topics n Off-chip connections.
FPGA-Based System Design: Chapter 2 Copyright  2003 Prentice Hall PTR Topics n Combinational logic functions. n Static complementary logic gate structures.
Modern VLSI Design 3e: Chapter 3Partly from 2002 Prentice Hall PTR week9-1 Lectures 21, 22 FPGA and Top-Down Design Flow Mar. 3 and 5, 2003.
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR FPGA Fabric n Elements of an FPGA fabric –Logic element –Placement –Wiring –I/O.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Combinational network delay. n Logic optimization.
Figure 3.1 Logic values as voltage levels Figure 3.2 NMOS transistor as a switch DrainSource x = "low"x = "high" (a) A simple switch controlled by the.
Field Programmable Gate Arrays (FPGAs) An Enabling Technology.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
FPGA Global Routing Architecture Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
Topics Combinational network delay.
Topics Architecture of FPGA: Logic elements. Interconnect. Pins.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Circuit design for FPGAs n Static CMOS gate vs. LUT n LE output drivers n Interconnect.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect. n Switch logic.
Modern VLSI Design 3e: Chapter 7 Copyright  1998, 2002 Prentice Hall PTR Topics n Power/ground routing. n Clock routing. n Floorplanning tips. n Off-chip.
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
Directional and Single-Driver Wires in FPGA Interconnect Guy Lemieux Edmund LeeMarvin TomAnthony Yu Dept. of ECE, University of British Columbia Vancouver,
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
Chapter 6 Copyright © 2004 The McGraw-Hill Companies, Inc. All rights reserved. High-Speed CMOS Logic Design.
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Low power design. n Pipelining.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Wire delay. n Buffer insertion. n Crosstalk. n Inductive interconnect.
Logic and Computer Design Fundamentals, Fifth Edition Mano | Kime | Martin Copyright ©2016, 2008, 2004 by Pearson Education, Inc. All rights reserved.
EE415 VLSI Design. Read 4.1, 4.2 COMBINATIONAL LOGIC.
A High-Speed & High-Capacity Single-Chip Copper Crossbar John Damiano, Bruce Duewer, Alan Glaser, Toby Schaffer,John Wilson, and Paul Franzon North Carolina.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Transistor sizing: –Spice analysis. –Logical effort.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
Field Programmable Gate Arrays
Lecture 19: SRAM.
Topics SRAM-based FPGA fabrics: Xilinx. Altera..
More Devices: Control (Making Choices)
Mary Jane Irwin ( ) CSE477 VLSI Digital Circuits Fall 2002 Lecture 22: Shifters, Decoders, Muxes Mary Jane.
Multiple Drain Transistor-Based FPGA Architectures
هاLC نمونه 3: شرکتActel (Act-1): A0 A1 قابليت پياده سازي
COMBINATIONAL LOGIC.
Topics Antifuse-based FPGA fabrics: Flash-based FPGAs Actel.
Topics Circuit design for FPGAs: Logic elements. Interconnect.
Give qualifications of instructors: DAP
FIGURE 5-1 MOS Transistor, Symbols, and Switch Models
Presentation transcript:

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n Circuit design for FPGAs: –Logic elements. –Interconnect.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Multiplexers as logic elements A A 1 B 0 (AB)’1 0 0 A A 1 B 0 A^BQ 0 D CLR 0 0 CLK latch

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Using antifuses

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Static CMOS gate vs. LUT n Number of transistors: –NAND/NOR gate has 2n transistors. –4-input LUT has 128 transistors in SRAM, 96 in multiplexer. n Delay: –4-input NAND gate has 9  delay. –SRAM decoding has 21  delay. n Power: –Static gate’s power depends on activity. –SRAM always burns power.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Lookup table circuitry n Demultiplexer or multiplexer? LUT adrs LUT adrs

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Traditional RAM/ROM n Cell drives long bit line: Bit line adrs

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Lookup memory n Multiplexer presents smaller load to memory cells. –Allows smaller memory cells.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Multiplexer styles static gates pass transistors

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Multiplexer design n Pass transistor multiplexer uses fewer transistors than fully complementary gates. n Pass transistor is somewhat faster than complementary switch: –Equal-strength p-type is 2.5X n-type width. –Total resistance is 0.5X, total capacitance is 3.5X. –RC delay is 0.5 x 3.5 = 1.75 times n-type switch.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Static gate four-input mux n Delay through n- input NAND is (n+2)/3. n Lg b + 1 inputs at first level, so delay is (lg b + 3)/3. n Delay at second level is (b+2)/3. n Delay grows as b lg b.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Pass-transistor-based four-input mux n Must include decode logic in total delay.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Tree-based four-input mux n Delay proportional to square of path length. n Delay grows as lg b 2.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR LE output drivers n Must drive load: –Wire; –Destination LE. n Different types of wiring present different loads.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Avoiding programming hazards n Want to disable connections to routing channel before programming. From LE Routing channel config progb

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Interconnect circuits n Why so many types of interconnect? –Provide a choice of delay alternatives. n Sources of delay: –Wires. –Programming points.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Styles of programmable interconnection point pass transistor Three-state

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Pass transistor programmable interconnect point n Small area. n Resistive switch. n Delay grows as the square of the number of switches.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Three-state programmable interconnection point n Larger area. n Regenerative driver. +

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Switch area * wire delay vs. buffer size (Betz & Rose) © 1999 IEEE

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Switch area * wire delay vs. pass transistor width (Betz & Rose) © 1999 IEEE

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Wire delay vs. switch sizes (Chandra and Schmidt) n Delay vs. switch size for various driver sizes. n U-shaped curve: –Resistance initially decreases. –Increased capacitance eventually dominates. © 2002 IEEE

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Clock drivers n Clock driver tree:

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Clock nets n Must drive all LEs. n Design parameters: –number of fanouts; –load per fanout; –wiring tree capacitance. n Determine optimal buffer sizes.

FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR H tree n Regular layout structure. –Recursive.