M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal

Slides:



Advertisements
Similar presentations
Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Murali Dharan Vishwani Agrawal ICIT-SSST 20113/14/20111.
Advertisements

EVALUATION OF A CIRCUIT PATH DELAY TUNING TECHNIQUE FOR NANOMETER CMOS Advisor: Dr. Adit D. Singh Committee members: Dr. Vishwani D. Agrawal and Dr. Victor.
Dual-Threshold Voltage Design of Sub-threshold Circuits
October 2nd Karthik’s MS Defense DVF4: A Dual Vth Feedback Type 4-Transistor Level Converter Master’s Defense Karthik Naishathrala Jayaraman Department.
Minimum Energy CMOS Design with Dual Subthrehold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Energy Source Lifetime Optimization for a Digital System through Power Management Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor.
True Minimum Energy Design Using Dual Below-Threshold Supply Voltages Kyungseok Kim and Vishwani D. Agrawal ECE Dept. Auburn University Auburn, AL 36849,
Architectural Power Management for Battery Lifetime Optimization in Portable Systems Department of Electrical and Computer Engineering Auburn University,
Energy Source Lifetime Optimization for a Digital System through Power Management Department of Electrical and Computer Engineering Auburn University,
8/29/06 and 8/31/06 ELEC / Lecture 3 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits (ELEC 5970/6970) Low Voltage.
May 14, ISVLSI 09 Algorithms for Estimating Number of Glitches and Dynamic Power in CMOS Circuits with Delay Variations Jins Davis Alexander Vishwani.
9/01/05ELEC / Lecture 41 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
A Tutorial on Battery Simulation - Matching Power Source to Electronic System Manish Kulkarni and Vishwani D. Agrawal Auburn University Auburn, AL 36849,
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 5 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Low Voltage Low-Power Devices Vishwani.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
A Defect Tolerant and Performance Tunable Gate Architecture for End-of-Roadmap CMOS Adit D. Singh Electrical and Computer Engineering, Auburn University.
Ultra Low Power CMOS Design Ph.D. Dissertation Proposal Kyungseok Kim ECE Auburn Univ. Chair: Prof. Vishwani D. Agrawal Committee Members: Prof. Victor.
Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/2011.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Finding Optimum Clock Frequencies for Aperiodic Test Master’s Thesis Defense Sindhu Gunasekar Dept. of ECE, Auburn University Advisory Committee: Dr. Vishwani.
Managing Performance and Efficiency of a Processor Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor Nelson Department of Electrical.
Determining the Optimal Process Technology for Performance- Constrained Circuits Michael Boyer & Sudeep Ghosh ECE 563: Introduction to VLSI December 5.
Copyright Agrawal, 2011ELEC5270/6270 Spr 15, Lecture 71 ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Energy Source Design Vishwani.
An Efficient Algorithm for Dual-Voltage Design Without Need for Level-Conversion SSST 2012 Mridula Allani Intel Corporation, Austin, TX (Formerly.
Ashley Brinker Karen Joseph Mehdi Kabir ECE 6332 – VLSI Fall 2010.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Using Cycle Efficiency as a System Designer Metric to Characterize an Embedded DSP and Compare Hard Core vs. Soft Core Advisor Dr. Vishwani D. Agrawal.
Low Power – High Speed MCML Circuits (II)
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
On-Chip Sensors for Process, Aging, and Temperature Variation
Design and Analysis of A Novel 8T SRAM Cell December 14, 2010 Department of Microelectronic Engineering & Centre for Efficiency Oriented Languages University.
HO #3: ELEN Review MOS TransistorsPage 1S. Saha Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended.
Patricia Gonzalez Divya Akella VLSI Class Project.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
A Class presentation for VLSI course by : Maryam Homayouni
1 Very Low Voltage Operation of Benchmark Circuit c6288 Presented By: - Murali Dharan.
Tae- Hyoung Kim, Hanyong Eom, John Keane Presented by Mandeep Singh
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
RTL Simulator for VChip 1999/11/11 이재곤. RTL Simulator for VChip  현재 상황 Compiled-code 로 변환 중  VBS 의 내장된 obj 파일을 이용하려 하였으나 제 대로 구현되어 있지 않음  Obj 파일 :
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
YASHWANT SINGH, D. BOOLCHANDANI
CS203 – Advanced Computer Architecture
VLSI design Short channel Effects in Deep Submicron CMOS
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
Device Structure & Simulation
Very low voltage 16-bit counter in high leakage static CMOS technology
VLSI Design MOSFET Scaling and CMOS Latch Up
INTRODUCTION: MD. SHAFIQUL ISLAM ROLL: REGI:
ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
Low Power and High Speed Multi Threshold Voltage Interface Circuits
Challenges in Nanoelectronics: Process Variability
Low Power Design in VLSI
Analytical Delay and Variation Modeling for Subthreshold Circuits
Analytical Delay and Variation Modeling for Subthreshold Circuits
ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
ELEC 6970: Low Power Design Class Project By: Sachin Dhingra
Dual Mode Logic An approach for high speed and energy efficient design
Vishwani D. Agrawal James J. Danaher Professor
ELEC 5270/6270 Spring 2011 Low-Power Design of Electronic Circuits Pass Transistor Logic: A Low Power Logic Family Vishwani D. Agrawal James J. Danaher.
Circuit Design Techniques for Low Power DSPs
Energy Efficient Power Distribution on Many-Core SoC
Characterization of C2MOS Flip-Flop in Sub-Threshold Region
Ph.D. General Oral Examination
Parametric Yield Estimation Considering Leakage Variability Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester Present by Fengbo Ren Apr. 30.
A Low-Power Analog Bus for On-Chip Digital Communication
ELEC 5270/6270 Spring 2009 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
Presentation transcript:

Energy Efficiency and Process Variation Tolerance of 45 nm Bulk and High-k CMOS Devices M.S. Thesis Defense Murali Dharan Advisor: Dr. Vishwani D. Agrawal Committee: Dr. Adit D. Singh and Dr. Charles E. Stroud Department of Electrical and Computer Engineering Auburn University

Outline Problem statement Motivation Background Methodology Results Moore’s law Comparison between bulk and high-k MOSFETs Process variations Methodology Dynamic voltage scaling Measurement of critical path delay Energy measurement Modeling of process variations Results Conclusion Future work References November 22, 2018 MS Thesis Defense

Problem statement Comparing and analyzing the effects of voltage scaling on circuit operations in the subthreshold region of transistors designed in 45 nm bulk and high-k technologies. Studying the effects of parametric process variations like threshold voltage (Vth), and oxide thickness (tox) on circuits designed in 45 nm bulk and high-k technologies. November 22, 2018 MS Thesis Defense

Motivation November 22, 2018 MS Thesis Defense Source: http://news.cnet.com/8301-11128_3-20020771-54.html November 22, 2018 MS Thesis Defense

Motivation (continued).. Growing concern for increased power and energy dissipation due to excessive leakage with the scaling down of transistors in the sub 90 nm region. Reduced feature sizes of transistors can cause an increase in process variations hence causing deviations from ideal power and energy values. November 22, 2018 MS Thesis Defense

Background

Moore’s law November 22, 2018 MS Thesis Defense Source: http://thinkwinemarketing.wordpress.com/ November 22, 2018 MS Thesis Defense

Background (continued).. Source: http://thegadgetfan.com/laptops/processor-speed-vs-power-dissipation.html November 22, 2018 MS Thesis Defense

Background (continued).. Ptotal = Pstatic + Pdynamic Pdynamic = Power dissipated during switching of transistors Pstatic = Power dissipated due to leakage current Supply Voltage Vdd has the strongest influence on components of power and delay [2]. Scaling down of transistors has caused a reduction in dynamic energy/cycle due to faster speeds. Increase in static energy/cycle due to higher leakage current. November 22, 2018 MS Thesis Defense

Comparison between bulk and high-k MOSFETS Bulk MOSFETs have polysilicon gates and SiO2 as gate oxide. High-k MOSFETs have metal gates and hafnium or zirconium compounds as gate oxide. Problem of e- tunneling through gate oxide as transistors were scaled down causing unreliability. High-k transistors cut down tunneling, and hence reduced power dissipated due to leakage. Bulk MOSFET Design [3] High-k MOSFET Design [3] November 22, 2018 MS Thesis Defense

Comparison (continued).. Chandrakasan et al. defined two types of leakage [4]: Subthreshold leakage current Gate oxide leakage current November 22, 2018 MS Thesis Defense

Process variations Natural variation occurring in transistor parameters (like Vth, tox, μo etc.) during fabrication of integrated circuits. First discovered by William Shockley during analysis of random fluctuations in junction breakdown [5]. Classification [6] Systematic variations Random variations November 22, 2018 MS Thesis Defense

Process Variations (continued).. Systematic variations Caused due to mask errors, lens aberrations, lithographic errors (focus, expose variations etc.) Usually predictable and can be modeled according to factors like layout structure and topological environment. Random variations Caused due to uncertainties like fluctuations in number and location of dopant atoms. Unpredictable and cause degradation in threshold voltage. Stolk’s Equation [7]: November 22, 2018 MS Thesis Defense

Methodology

Dynamic voltage scaling Varying the operating voltage of a circuit between a normal operating voltage and subthreshold voltages to obtain optimum speed or energy efficiency. When speed is a criteria, circuit is operated at normal operating voltage. When energy efficiency is a criteria, circuit can be operated at sub-threshold voltage. Roy et al. defined subthreshold slope (St) when Vdd < Vth [8]: s November 22, 2018 MS Thesis Defense

Dynamic voltage scaling (continued).. Dynamic voltage scaling has shown that circuits are more energy efficient in sub-threshold regions [9]. Energy savings on the order of 9X can be obtained when compared to normal circuit operations. Subthreshold voltage operations have shown advantage in extending the battery life of portable electronics [10]. November 22, 2018 MS Thesis Defense

Measurement of critical path delay 1 1 1 1 1 1 1 1 Delay 1 1 1 1 1 1 Delay 2 1 1 1 1 November 22, 2018 MS Thesis Defense

Energy measurement Td = delay 1 (since, delay 1 > delay 2) 100 random vectors were applied with (Td+0.10 Td ) time interval between each vector. Average current Iavg drawn by the circuit was measured. Average Energy/cycle was calculated for each voltage. E = Vdd x Iavg x Td November 22, 2018 MS Thesis Defense

Process variations Two circuit modes were analyzed and compared to study the effects of process variation Comparison between bulk and high-k design of the circuit for the same operating point of 0.3 V. Comparison between 0.9 V and 0.3 V operating points of the circuit designed in high-k technology. Varied the transistor parameters in the PTM [11] 45 nm bulk and high-k models by means of a normal distribution Varied the threshold parameter (vth0) by 16% Varied the oxide thickness (tox) by 20% Measured the mean (tm) and standard deviation(σ) of the critical path delay for random samples generated using Monte Carlo analysis for designs in both bulk and high-k technologies. November 22, 2018 MS Thesis Defense

Process variations (continued).. Calculated the clock period of the circuit as (tm + 3σ) and used it to feed in 100 random vectors to the modeled circuit. Analyzed and compared the average energy/cycle for the Monte Carlo variations for three instances: Circuit designed in 45 nm high-k technology and operating at 0.9V Circuit designed in 45 nm high-k technology and operating at 0.3V Circuit designed in 45 nm bulk technology and operating at 0.3V November 22, 2018 MS Thesis Defense

Results

Comparison between 45 nm bulk and high-k technology Simulated performance of 32 bit ripple carry adder in 45 nm bulk technology. Simulated performance of 32 bit ripple carry adder in 45 nm high-k technology. Current Power Delay Energy Current Power Delay Energy Voltage Voltage x 10 - 5 x10 - 6 x 10 - 9 x 10 - 14 x 10 - 5 x 10 - 6 x 10 - 9 x 10 - 14 (V) (V) (A) (W) (s) (J) (A) (W) (s) (J) 0.9 12.7 114 1.11 12.7 0.9 25.7 231 0.47 10.9 0.8 8.97 71.7 1.38 9.89 0.8 20 152 0.51 8.10 0.7 5.63 39.4 1.88 7.41 0.7 15.5 109 0.57 6.16 0.6 2.96 17.8 3.01 5.36 0.6 10.5 62.9 0.67 4.19 0.5 1.15 5.74 6.52 3.74 0.5 6.38 31.9 0.87 2.78 0.4 2.76 1.10 23.4 2.58 0.4 3.20 12.8 1.42 1.82 0.35 0.119 0.416 54.3 2.26 0.35 1.84 6.42 2.12 1.36 0.3 0.053 0.160 137 2.19 0.3 1.09 3.28 3.71 1.22 0.2 0.017 0.035 923 3.19 0.2 0.382 0.764 18.7 1.43 November 22, 2018 MS Thesis Defense

Energy/cycle and critical path delay vs. Vdd November 22, 2018 MS Thesis Defense

Yield of designed circuit when affected by process variations Operating Voltage (V) Process Variations Yield (%) 0.9 V high-k Vth0 100 % Tox 98.9% Both 99% 0.3 V high-k 99.6% 99.7% 0.3 V bulk 90.7% 97.5% 79.8% November 22, 2018 MS Thesis Defense

Mean and sigma for critical path delays of 30 and 1000 samples Operating Voltage (V) Process Variations 30 random samples 1000 random samples Mean (tm) x 10-9 s Standard Deviation (σ) x 10-9 s 0.9 V high-k Vth0 0.488 0.045 0.475 0.048 Tox 0.465 0.023 0.474 0.032 Both 0.477 0.055 0.48 0.062 0.3 V high-k 6.36 4.45 6.29 8.57 4.61 1.52 4.23 1.65 6.15 4.95 6.85 9.25 0.3 V bulk 274.4 210.32 225.7 207.32 279.6 171.7 204.6 237.4 192.3 202.03 241.7 238.65 November 22, 2018 MS Thesis Defense

Effect of variation of vth0 (16%) on adder operating at 0 Effect of variation of vth0 (16%) on adder operating at 0.9V high-k technology November 22, 2018 MS Thesis Defense

Effect of variation of tox (20%) on adder operating at 0 Effect of variation of tox (20%) on adder operating at 0.9V high-k technology November 22, 2018 MS Thesis Defense

Effect of variation of both tox and vth0 on adder operating at 0 Effect of variation of both tox and vth0 on adder operating at 0.9V high-k technology November 22, 2018 MS Thesis Defense

Comparison of average energy/cycle and clock period for the adder circuit Operating Voltage (V) Process Variations Clock Period x 10-9 (s) Energy/cycle x 10-14 (J) 0.9 V high-k No variation 0.47 10.9 Vth0 0.619 12.4 Tox 0.57 120 Both 0.666 87 0.3 V high-k 3.71 1.22 32 8.15 9.18 24 36.4 43.2 0.3 V bulk 137 2.19 847.66 19.6 916.81 50.4 957.05 62.7 November 22, 2018 MS Thesis Defense

Comparison of average energy/cycle for the adder circuit for vth0 (16%) variation November 22, 2018 MS Thesis Defense

Comparison of average energy/cycle for the adder circuit for tox (20%) variation November 22, 2018 MS Thesis Defense

Comparison of average energy/cycle for the adder circuit for both vth0 and tox variation November 22, 2018 MS Thesis Defense

Conclusion Circuits designed in 45 nm high-k technology are faster and more energy efficient compared to 45 nm bulk technology Minimum energy/cycle for high-k is 40 % lower compared to bulk for the same operating point (o.3 V). Circuits designed in high-k operate at 250 MHz at minimum energy point while bulk circuits operate at just above 7 MHz. Results show that circuits designed in high-k technology are more resilient to process variations compared to bulk designs. Circuit yields for high-k due to process variations are ~99% but drops to less than 80% for bulk designs due to process variations. High-k designs consume 32% less energy compared to bulk designs when operated at minimum energy point (o.3 V). Even with process variations in high-k technology, circuits operating at 0.3 V are more 50% energy efficient when compared to operations at 0.9 V. November 22, 2018 MS Thesis Defense

Future work Effects of parametric process variations on sequential circuits operating in subthreshold voltages need to be analyzed. With research being conducted in development of new types of transistor models (FinFETs, Tri-gate transistors etc.), studies on energy efficiency and effects of process variation will be needed for designs based on upcoming technological models. November 22, 2018 MS Thesis Defense

References [1] M. Venkatasubramanian, and V.D. Agrawal, “Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance”, Proc. 43rd Southeastern Symposium on System Theory, pp. 100 - 105, March 2011. [2] J. D. Meindl, and R. M. Swanson, “Potential Improvements in Power Speed Performance of Digital Circuits,” Proc. IEEE, vol. 59, no. 5, pp. 815 - 816, May 1971. [3] M. T. Bohr, R. S. Chau, T. Ghani, and K. Mistry, “The High-k Solution,” IEEE Spectrum, vol. 44, no. 10, pp. 29 - 35, 2007. [4] A. P. Chandrakasan, W. J. Bowhill, and F. Fox, Design of High-Performance Micro-processor Circuits. Wiley-IEEE Press, 1st edition, 2000. [5] W. Shockley, “Problems related to p-n junctions in silicon,” Solid-State Electronics, vol. 2, no. 1, pp. 35 - 60, IN9-IN10, 61 - 67, 1961. [6] K. Agarwal, and S. Nassif, “Characterizing process variation in nanometer CMOS,” Proc. 44th IEEE Design Automation Conference, pp. 396 - 399, 2007. November 22, 2018 MS Thesis Defense

References (continued).. [7] P. Stolk, F. Widdershoven, and D. Klaassen, “Modeling statistical dopant fluctuations in MOS transistors,” IEEE Transactions on Electron Devices, vol. 45, no. 9, pp. 1960 - 1971, Sept. 1998. [8] K. Roy, S. Mukhopadhyay, and H. Mahmoodi-Meimand, “Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits,” Proc. IEEE, vol. 91, no. 2, pp. 305 - 327, Feb. 2003. [9] B. H. Calhoun, and A. Chandrakasan, “Ultra-Dynamic Voltage Scaling Using Sub-Threshold Operation and Local Voltage Dithering in 90nm CMOS,” Proc. IEEE International Solid-State Circuits Conference, pp. 300 - 301, Feb. 2005. [10] M. Kulkarni, and V. D. Agrawal, “Energy Source Lifetime Optimization for a Digital System through Power Management,” Proc. 43rd Southeastern Symposium on System Theory, pp. 75 - 80, March 2011. [11] PTM website, Arizona State University, http://ptm.asu.edu/ November 22, 2018 MS Thesis Defense

Thank You!!! November 22, 2018 MS Thesis Defense