Patterning - Photolithography

Slides:



Advertisements
Similar presentations
FABRICATION PROCESSES
Advertisements

CMOS Fabrication EMT 251.
Lecture 0: Introduction
Simplified Example of a LOCOS Fabrication Process
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Digital Integrated Circuits A Design Perspective Manufacturing Process July 30, 2002.
CMOS Process at a Glance
VLSI Design Lecture 2: Basic Fabrication Steps and Layout
Outline Introduction CMOS devices CMOS technology
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 CMOS Process Manufacturing Process.
Introduction to CMOS VLSI Design Lecture 0: Introduction
Design and Implementation of VLSI Systems (EN0160) Sherief Reda Division of Engineering, Brown University Spring 2007 [sources: Sedra/Prentice Hall, Saint/McGrawHill,
Lecture #51 Lecture #5 – VLSI Design Review zPhotolithography zPatterning Silicon zProcess steps used are: yStarts with Si wafer yThermal oxidation yPhotoresist.
Paulo MoreiraTechnology1 Outline Introduction – “Is there a limit ?” Transistors – “CMOS building blocks” Parasitics I – “The [un]desirables” Parasitics.
CSE477 L05 IC Manufacturing.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 05: IC Manufacturing Mary Jane Irwin (
Device Fabrication Example
Introduction Integrated circuits: many transistors on one chip.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process I Dr. Shiyan Hu Office: EERC 518 Adapted and modified from Digital Integrated.
CMOS Process Integration ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May March 25, 2004.
Rochester Institute of Technology - MicroE © REP/LFF 8/17/2015 Metal Gate PMOS Process EMCR201 PMOS page-1  10 Micrometer Design Rules  4 Design Layers.
ISAT 436 Micro-/Nanofabrication and Applications MOS Transistor Fabrication David J. Lawrence Spring 2001.
Patterning - Photolithography
Z. Feng VLSI Design 1.1 VLSI Design MOSFET Zhuo Feng.
Manufacturing Process
CS/EE 6710 CMOS Processing. N-type Transistor + - i electrons Vds +Vgs S G D.
Fabrication of Active Matrix (STEM) Detectors
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #2. Chip Fabrication  Silicon Ingots  Wafers  Chip Fabrication Steps (FEOL, BEOL)  Processing Categories 
Outline Introduction CMOS devices CMOS technology
MOHD YASIR M.Tech. I Semester Electronics Engg. Deptt. ZHCET, AMU.
Metallization: Contact to devices, interconnections between devices and to external Signal (V or I) intensity and speed (frequency response, delay)
Lecture 0: Introduction. CMOS VLSI Design 4th Ed. 0: Introduction2 Introduction  Integrated circuits: many transistors on one chip.  Very Large Scale.
1. A clean single crystal silicon (Si) wafer which is doped n-type (ColumnV elements of the periodic table). MOS devices are typically fabricated on a,
IC Process Integration
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Chapter 2 Manufacturing Process March 7, 2003.
ECE484: Digital VLSI Design Fall 2010 Lecture: IC Manufacturing
SEMINAR PRESENTATION ON IC FABRICATION PROCESS
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
Introduction to CMOS VLSI Design CMOS Fabrication and Layout Harris, 2004 Updated by Li Chen, 2010.
Lecture 24a, Slide 1EECS40, Fall 2004Prof. White Lecture #24a OUTLINE Device isolation methods Electrical contacts to Si Mask layout conventions Process.
Fabrication Technology(1)
Digital Integrated Circuit Design
Introduction EE1411 Manufacturing Process. EE1412 What is a Semiconductor? Low resistivity => “conductor” High resistivity => “insulator” Intermediate.
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Digital Integrated Circuits A Design Perspective Manufacturing Process Jan M. Rabaey Anantha Chandrakasan.
CMOS Fabrication nMOS pMOS.
IC Processing. Initial Steps: Forming an active region Si 3 N 4 is etched away using an F-plasma: Si3dN4 + 12F → 3SiF 4 + 2N 2 Or removed in hot.
ISAT 436 Micro-/Nanofabrication and Applications Photolithography David J. Lawrence Spring 2004.
IC Fabrication/Process
NMOS FABRICATION 1. Processing is carried out on a thin wafer cut from a single crystal of silicon of high purity into which the required p-impurities.
CORPORATE INSTITUTE OF SCIENCE & TECHNOLOGY, BHOPAL DEPARTMENT OF ELECTRONICS & COMMUNICATIONS NMOS FABRICATION PROCESS - PROF. RAKESH K. JHA.
Digital Integrated Circuits A Design Perspective
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
1 Overview of Fabrication Processes of MOSFETs and Layout Design Rules.
Dynamic Behavior of MOS Transistor. The Gate Capacitance t ox n + n + Cross section L Gate oxide x d x d L d Polysilicon gate Top view Gate-bulk overlap.
CMOS VLSI Fabrication.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Digital Integrated Circuits A Design Perspective Manufacturing Process Jan M. Rabaey Anantha Chandrakasan.
CMOS FABRICATION.
Trieste, 8-10 November 1999 CMOS technology1 Design rules The limitations of the patterning process give rise to a set of mask design guidelines called.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
CMOS Fabrication EMT 251.
CSE477 L05 IC Manufacturing.1Irwin&Vijay, PSU, 2003 CSE477 VLSI Digital Circuits Fall 2003 Lecture 05: IC Manufacturing Mary Jane Irwin (
IC Manufactured Done by: Engineer Ahmad Haitham.
Manufacturing Process I
EMT362: Microelectronic Fabrication
Chapter 1 & Chapter 3.
VLSI System Design LEC3.1 CMOS FABRICATION REVIEW
Digital Integrated Circuits A Design Perspective
Lecture #25 OUTLINE Device isolation methods Electrical contacts to Si
Manufacturing Process I
Manufacturing Process I
CSE 87 Fall 2007 Chips and Chip Making
Presentation transcript:

Patterning - Photolithography Oxidation Photoresist (PR) coating Stepper exposure Photoresist development and bake Acid etching Unexposed (negative PR) Exposed (positive PR) Spin, rinse, and dry Processing step Ion implantation Plasma etching Metal deposition Photoresist removal (ashing) UV light mask SiO2 PR Same sequence patterns the complete surface of the wafer. Hence it is a very parallel process transferring hundreds of millions of patterns to the wafer surface simultaneously making cheap manufacturing of complex circuits possible. 1 – deposit thin layer of SiO2 by exposing it to a mixture of high-purity oxygen and hydrogen at 1000C 2 – light-sensitive polymer evenly applied while spinning the wafer to a thickness of 1 micron; polymers cross-link when exposed to light making the affected region insoluble (negative PR) or original insoluable, soluable after exposure (positive PR). COST OF MASKS IS INCREASING QUITE RAPIDLY WITH SCALING OF TECHNOLOGY – A REDUCTION OF MASKS IS OF HIGH PRIORITY! 3 – glass mask containing patter brought in close proximity to the wafer. Mask is transparent in regions we want to process and opaque elsewhere (positive PR). Combination exposed to UV light. Where mask is transparent, photoresist becomes soluable. Dimensions of features is approaching the wavelength of optical light sources (we’re good up to 0.1 micron). Will eventually move to X-ray or electron-beam (much less cost effective). 4 – Exposed photoresist is removed in a acid or base wash, then wafer is “soft-baked” to harden remaining PR 5 – Exposed material (SiO2) is removed via acid, base, and caustic solution wash. 6 – SRD – number of dust particles per cubic foot of air in clean room ranges between 1 and 10 8 – high-temperature plasma is used to selectively remove the remaining photoresist

Example of Patterning of SiO2 Si-substrate 4. After development and etching of resist, chemical or plasma etch of SiO2 Hardened resist Chemical or plasma etch Si-substrate Silicon base material 1&2. After oxidation and deposition of negative photoresist Photoresist SiO2 Si-substrate Si-substrate SiO2 5. After etching Hardened resist Si-substrate 3. Stepper exposure UV-light Patterned optical mask Exposed resist Si-substrate SiO2 8. Final result after removal of resist

Diffusion and Ion Implantation Area to be doped is exposed (photolithography) Diffusion or Ion implantation Needed for well, source and drain regions, doping of polysilicon, adjustment of thresholds Diffusion – wafer placed in quartz tube embedded in a furnace (900 to 1100 C). Gas containing dopant is introduced in the tub. Dopands diffused into the exposed surface both vertically and horizontally. Final dopant concentration is highest at surface and decreases in a gaussian profile deeper in the material Ion implantation – Dopants are introduced as ions into the material by sweeping a beam of purified ions over the surface - acceleration determines how deep ions will penetrate and the beam current and exposure time determine dosage. Independent control of depth and dosage – ion implantation has largely displaced diffusion. However, has a side effect of causing lattice damage to substrate, so usually follow with an annealing step (wafer heated to 1000C for 15 to 30 minutes and allowed to cool slowly). Heating vibrates atoms and allows the bonds to reform.

Deposition and Etching Pattern masking (photolithography) Deposit material over entire wafer CVD (Si3N4)chemical deposition (polysilicon) sputtering (Al) Etch away unwanted material wet etching dry (plasma) etching Needed for insulating SiO2, silicon nitride (sacrificial buffer), polysilicon, metal interconnect CVD – chemical vapor deposition uses a gas-phase reaction with energy supplied by heat at around 850C. Use for, eg, silicon nitride Chemical deposition – used for polysilicon. flow silane gas over the heated wafer (coated with SiO2) at approx. 650C. Resulting reaction produces a non-crystaline material – polysilicon. Followed by an implant step to increase its conductivity. Sputtering – used for aluminum. Al evaporated in a vacuum, heat for evaporation delivered by e-bam bombarding. Etching is then used to selectively form patterns (wires, contact holes). Wet etching using acid or basic solutions – hydrofluoric acid buffered with fluoride is used to etch SiO2. Plasma etching becoming more common. Use plasma molecules in heated chamber to “sandblast” the surface. Gives well-defined directionality to the etching action, creating patterns with sharp vertical contours.

Physical structure NMOS layout representation: Implicit layers: oxide layers substrate (bulk) Drawn layers: n+ regions polysilicon gate oxide contact cuts metal layers NMOS physical structure: p-substrate n+ source/drain gate oxide (SiO2) polysilicon gate CVD oxide metal 1 Leff<Ldrawn (lateral doping effects)

Physical structure PMOS layout representation: Implicit layers: oxide layers Drawn layers: n-well (bulk) n+ regions polysilicon gate oxide contact cuts metal layers PMOS physical structure: p-substrate n-well (bulk) p+ source/drain gate oxide (SiO2) polysilicon gate CVD oxide metal 1

CMOS fabrication sequence 0. Start: For an n-well process the starting point is a p-type silicon wafer: wafer: typically 75 to 230mm in diameter and less than 1mm thick 1. Epitaxial growth: A single p-type single crystal film is grown on the surface of the wafer by: subjecting the wafer to high temperature and a source of dopant material The epi layer is used as the base layer to build the devices

CMOS fabrication sequence 2. N-well Formation: PMOS transistors are fabricated in n-well regions The first mask defines the n-well regions N-well’s are formed by ion implantation or deposition and diffusion Lateral diffusion limits the proximity between structures Ion implantation results in shallower wells compatible with today’s fine-line processes

CMOS fabrication sequence 3. Active area definition: Active area: planar section of the surface where transistors are build defines the gate region (thin oxide) defines the n+ or p+ regions A thin layer of SiO2 is grown over the active region and covered with silicon nitride

CMOS fabrication sequence 4. Isolation: Parasitic (unwanted) FET’s exist between unrelated transistors (Field Oxide FET’s) Source and drains are existing source and drains of wanted devices Gates are metal and polysilicon interconnects The threshold voltage of FOX FET’s are higher than for normal FET’s

CMOS fabrication sequence FOX FET’s threshold is made high by: introducing a channel-stop diffusion that raises the impurity concentration in the substrate in areas where transistors are not required making the FOX thick 4.1 Channel-stop implant The silicon nitride (over n-active) and the photoresist (over n-well) act as masks for the channel-stop implant

CMOS fabrication sequence 4.2 Local oxidation of silicon (LOCOS) The photoresist mask is removed The SiO2/SiN layers will now act as a masks The thick field oxide is then grown by: exposing the surface of the wafer to a flow of oxygen-rich gas The oxide grows in both the vertical and lateral directions This results in a active area smaller than patterned

CMOS fabrication sequence Silicon oxidation is obtained by: Heating the wafer in a oxidizing atmosphere: Wet oxidation: water vapor, T = 900 to 1000ºC (rapid process) Dry oxidation: Pure oxygen, T = 1200ºC (high temperature required to achieve an acceptable growth rate) Oxidation consumes silicon SiO2 has approximately twice the volume of silicon The FOX is recedes below the silicon surface by 0.46XFOX

CMOS fabrication sequence 5. Gate oxide growth The nitride and stress-relief oxide are removed The devices threshold voltage is adjusted by: adding charge at the silicon/oxide interface The well controlled gate oxide is grown with thickness tox

CMOS fabrication sequence 6. Polysilicon deposition and patterning A layer of polysilicon is deposited over the entire wafer surface The polysilicon is then patterned by a lithography sequence All the MOSFET gates are defined in a single step The polysilicon gate can be doped (n+) while is being deposited to lower its parasitic resistance (important in high speed fine line processes)

CMOS fabrication sequence 7. PMOS formation Photoresist is patterned to cover all but the p+ regions A boron ion beam creates the p+ source and drain regions The polysilicon serves as a mask to the underlying channel This is called a self-aligned process It allows precise placement of the source and drain regions During this process the gate gets doped with p-type impurities Since the gate had been doped n-type during deposition, the final type (n or p) will depend on which dopant is dominant

CMOS fabrication sequence 8. NMOS formation Photoresist is patterned to define the n+ regions Donors (arsenic or phosphorous) are ion-implanted to dope the n+ source and drain regions The process is self-aligned The gate is n-type doped

CMOS fabrication sequence 9. Annealing After the implants are completed a thermal annealing cycle is executed This allows the impurities to diffuse further into the bulk After thermal annealing, it is important to keep the remaining process steps at as low temperature as possible

CMOS fabrication sequence 10. Contact cuts The surface of the IC is covered by a layer of CVD oxide The oxide is deposited at low temperature (LTO) to avoid that underlying doped regions will undergo diffusive spreading Contact cuts are defined by etching SiO2 down to the surface to be contacted These allow metal to contact diffusion and/or polysilicon regions

CMOS fabrication sequence 11. Metal 1 A first level of metallization is applied to the wafer surface and selectively etched to produce the interconnects

CMOS fabrication sequence 12. Metal 2 Another layer of LTO CVD oxide is added Via openings are created Metal 2 is deposited and patterned

CMOS fabrication sequence 13. Over glass and pad openings A protective layer is added over the surface: The protective layer consists of: A layer of SiO2 Followed by a layer of silicon nitride The SiN layer acts as a diffusion barrier against contaminants (passivation) Finally, contact cuts are etched, over metal 2, on the passivation to allow for wire bonding.

Yield Yield The yield is influenced by: the technology the chip area the layout Scribe cut and packaging also contribute to the final yield Yield can be approximated by: A - chip area (cm2) D - defect density (defects/cm2)

Design rules The limitations of the patterning process give rise to a set of mask design guidelines called design rules Design rules are a set of guidelines that specify the minimum dimensions and spacings allowed in a layout drawing Violating a design rule might result in a non-functional circuit or in a highly reduced yield The design rules can be expressed as: A list of minimum feature sizes and spacings for all the masks required in a given process Based on single parameter  that characterize the linear feature (e.g. the minimum grid dimension).  base rules allow simple scaling

Design rules Minimum line-width: Minimum spacing: smallest dimension permitted for any object in the layout drawing (minimum feature size) Minimum spacing: smallest distance permitted between the edges of two objects This rules originate from the resolution of the optical printing system, the etching process, or the surface roughness

Design rules Contacts and vias: minimum size limited by the lithography process large contacts can result in cracks and voids Dimensions of contact cuts are restricted to values that can be reliably manufactured A minimum distance between the edge of the oxide cut and the edge of the patterned region must be specified to allow for misalignment tolerances (registration errors)

Design rules MOSFET rules n+ and p+ regions are formed in two steps: the active area openings allow the implants to penetrate into the silicon substrate the nselect or pselect provide photoresist openings over the active areas to be implanted Since the formation of the diffusions depend on the overlap of two masks, the nselect and pselect regions must be larger than the corresponding active areas to allow for misalignments

Design rules Gate overhang: The gate must overlap the active area by a minimum amount This is done to ensure that a misaligned gate will still yield a structure with separated drain and source regions A modern process has may hundreds of rules to be verified Programs called Design Rule Checkers assist the designer in that task

Other processes P-well process NMOS devices are build on a implanted p-well PMOS devices are build on the substrate P-well process moderates the difference between the p- and the n-transistors since the P devices reside in the native substrate Advantages: better balance between p- and n-transistors

Other processes Twin-well process n+ or p+ substrate plus a lightly doped epi-layer (latchup prevention) wells for the n- and p-transistors Advantages, simultaneous optimization of p- and n-transistors: threshold voltages body effect gain

Other processes Silicon On Insulator (SOI) Advantages: Islands of silicon on an insulator form the transistors Advantages: No wells  denser transistor structures Lower substrate capacitances