August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.

Slides:



Advertisements
Similar presentations
CCD Camera with USB2.0 & GIGABIT interfaces for the Pi of The Sky Project Grzegorz Kasprowicz PERG dr inż. Krzysztof Poźniak In cooperation with Soltan.
Advertisements

1 SPEC FPGA FMC-base CARD WR PTP core user core SFP time WHISBONE EtherBone time.
April 30, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Configuring Transparent Bridging and Integrated Routing and Bridging
EES: Burn – in test Eliminate infant mortality: Not possible to detect it with AOI, FPT, X-ray or ICT! 1.
June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
6-1 I/O Methods I/O – Transfer of data between memory of the system and the I/O device Most devices operate asynchronously from the CPU Most methods involve.
IO Controller Module Arbitrates IO from the CCP Physically separable from CCP –Can be used as independent data logger or used in future projects. Implemented.
t Popularity of the Internet t Provides universal interconnection between individual groups that use different hardware suited for their needs t Based.
Coordinate Based Tracking System
Reliable Data Storage using Reed Solomon Code Supervised by: Isaschar (Zigi) Walter Performed by: Ilan Rosenfeld, Moshe Karl Spring 2004 Midterm Presentation.
October 8, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Ethernet Bomber Ethernet Packet Generator for network analysis Oren Novitzky & Rony Setter Advisor: Mony Orbach Started: Spring 2008 Part A final Presentation.
System Architecture A Reconfigurable and Programmable Gigabit Network Interface Card Jeff Shafer, Hyong-Youb Kim, Paul Willmann, Dr. Scott Rixner Rice.
GigE Knowledge. BODE, Company Profile Page: 2 Table of contents  GigE Benefits  Network Card and Jumbo Frames  Camera - IP address obtainment  Multi.
KM3Net Project 1.
Paolo Musico on behalf of KM3NeT collaboration The Central Logic Board for the KM3NeT detector: design and production Abstract The KM3NeT deep sea neutrino.
Marseille 30 January 2013 David Calvo IFIC (CSIC – Universidad de Valencia) CLB: Current status and development on CLBv2 in Valencia.
Adding the TSE component to BANSMOM system and Software Development m Yumiko Kimezawa October 4, 20121RPS.
Input/OUTPUT [I/O Module structure].
Tuesday September Cambridge1 GDCC “next replacement of the LDA” Franck GASTALDI.
GBT Interface Card for a Linux Computer Carson Teale 1.
February 26, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Autonomous Helicopter James LydenEE 496Harris Okazaki.
August 06, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
ENW-9800 Copyright © PLANET Technology Corporation. All rights reserved. Dual 10Gbps SFP+ PCI Express Server Adapter.
NS Training Hardware.
MICROCONTROLLER SYSTEMS Part 1. Figure 1.1Elements of a digital controller CPU Central Processing Unit Input Peripherals Output Peripherals ROM Read Only.
July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
ATtiny23131 A SEMINAR ON AVR MICROCONTROLLER ATtiny2313.
PROJECT - ZYNQ Yakir Peretz Idan Homri Semester - winter 2014 Duration - one semester.
May 29, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology Shore station brainstorm 1.
December 04, 2013KM3NeT, CLBv2 Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
FPGA firmware of DC5 FEE. Outline List of issue Data loss issue Command error issue (DCM to FEM) Command lost issue (PC with USB connection to GANDALF)
80386DX functional Block Diagram PIN Description Register set Flags Physical address space Data types.
January 28, 2015CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Chapter 3.  Upon completion of this chapter, you should be able to:  Select and install network cards to meet network connection requirements  Connect.
July, IFIC (CSIC – Universidad de Valencia) CLB: MULTIBOOT 1.
January 28-30, 2014KM3NeT, Electronics Workshop A‘dam Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
December 10, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
SL-PGA firmware overview M. Sozzi Pisa - January 30/31, 2014.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 14, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
29 Oct, 2014 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
November 2014, Groningen/Dwingeloo, the Netherlands 3rd International VLBI Technology Workshop Peter Jansweijer Nikhef Amsterdam Electronics- Technology.
LM32 DEVELOPMENTS ONGOING WORK ON TDCs AND OTHER ISSUES (LM32) Diego Real David Calvo CLB group online meeting, 27 March
H.Z. Peek Nikhef Amsterdam Electronics- Technology KM3NeT General Assembly Meeting Catania, 20-23, February White Rabbit Sub-Nanosecond timing over.
Genova May 2013 Diego Real – David Calvo IFIC (CSIC – Universidad de Valencia) CLBv2 1.
March 27, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology November 21, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
3 Dec, 2013 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
May 8, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 19, 2012KM3NeT, CLB/DAQ Videocon KM3NeT CLBv2 1.
July 31, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Grzegorz Kasprowicz1 Level 1 trigger sorter implemented in hardware.
October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
April 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
White Rabbit and KM3NeT Peter Jansweijer, on behalf of KM3NeT
Diego Real, IFIC Spain, KM3NeT Electronics Coordinator
Status on development of a White Rabbit Core
WR & KM3NeT Peter Jansweijer
Test Boards Design for LTDB
CLB: Current status and development
KM3NeT CLBv2.
KM3NeT CLBv2.
KM3NeT CLBv2.
Kostas Manolopoulos Tasos Belias
KM3NeT CLBv2.
MULTIBOOT AND SPI FLASH MEMORY
Presentation transcript:

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Visual Status 2 Rx_mac2buf I2C Fifo 31 TDCs TDC 0 Management & Control Data Control Wishbone bus RxPacket Buffer 64KB IP/UDP Packet Buffer Stream Selector (IPMUX) Rx_buf2data RxPort 1 RxPort 2 RxPort_m Management & Config. Tx_pkt2mac Tx_data2buf TxPort 1 TxPort 2 TxPort_m Flags Rx Stream Select TxPacket Buffer 32KB Flags Tx Stream Select 31 PMTs Pause Frame ADC Management & Control Hydrophone Fifo TDC 30 Fifo Nano Beacon GPIO Debug LEDs I2C Debug RS232 Temp Compass Tilt Point to Point interconnection Xilinx Kintex-7 Start Time Slice UTC & Offset counter since Time Slice Start MEM S 2 nd CPU LM32 M M WB Crossbar (1x7) WB Crossbar (3x2) S M S M M S S M M M SS S UART S M M S S M M State Machine SPI S M Flash UTC time & Clock (PPS+RefClk) aux_master ext_wb wrf_src wrf_snk

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Done: ◦ LM32 + WB-Crossbar + DPRAM + UART ◦ Soft-PLL FMC layout ◦ WR without PCI-express ◦ Deterministic PHY ◦ 1-wire Currently: ◦ Soft PLL (hardware + software). Still under study… ◦ Endpoint (= MAC) <= under investigation, focus on user interface ◦ Fabric redirector <= focus on user interface To do (in order of priority): ◦ Mini-nic <= Complex, but seems to work (PTP flows) ◦ PPS generator <= relatively straightforward ◦ SysCon <= easy? Status Listing 3

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Ethernet connection to Linux-PC 4 1Gb optical network card (Intel PRO/1000 PF Server AdapterIntel PRO/1000 PF Server Adapter Gigabit Ethernet Base-SXGigabit Ethernet Base-SX) WR core debug window via UART Wireshark receiving PTPv2 packets Linux PC SFP on SoftPLL FMC 850 nm multimode (double) fiber (Tx Rx) No WR support w.r.t. timing but suitable for Ethernet communication

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Install optical network card 5 `OpticalEth on eth2` Maximum Transmisstion Unit => Allow jumbo frames Assign IP address (for example )

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology WR on KC ) Get WR MAC address 2) Put it in the arp table (network card has IP assign WR to ) 3) Start wireshark 4) Put WR in Master mode (so that it is the initiator of a PTP transfer) 5) Start the PTP deamon

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology wireshark output 7 PTPv2 Sync Message

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KC705 WR Ethernet port Block diagram 8 Ethernet traffic: PTP frames Ethernet MAC aux_master (Etehrbone) wrf_src wrf_snk

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Connect IPMUX => WRPC simulation 9 IPMUX Frame Generator During simulation packets are lost in the endpoint since the packet classifier is implemented using a VLIW processor that needs a “program”. Need the initialization of registers and memory Start simulation of hardware & software (wrc.elf)

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Co-Simulation hardware/software (wrc.elf) 10 LM32 crt0.S Stack pointer is set Start “main” mprintf(“up\n"); UART output S S x75u x70p x0DCR x0ALF

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology 11 During simulation packets are lost in the endpoint since the packet classifier is implemented using a VLIW processor that needs a “program”. Need the initialization of registers and memory Start simulation of hardware & software (wrc.elf) Co-Simulation hardware/software (wrc.elf) Lots of Endpoint registers to be set! Now properly initialized by software For example: MAC address Dropped!? Unicast IP\UDP Broadcast ARP Accepted Packet Filter fails! => under study

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Focus on ipmux connection to WR ◦ Understand Endpoint and wr fabric sink and source => simulation ◦ Packet Filter failure Timing Servo (still) does not lock => PPS not enabled (but focus now on ipmux connection). ◦ Servo State: “Uninitialized” => “SYNC_SEC” => “SYNC_NSEC“ ◦ …but not yet “SYNC_PHASE” and “TRACK_PHASE” Again PHY RXCDRLOCK_OUT lost in simulation… ◦ We thought this was understood but it apparently isn’t! ◦ Prepared testcase for Xilinx support showing:  Received data is of influence  Reference clock phase is of influence ◦ Work around (for the moment) digitally filter the lock signal Summary WR status 12

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology CLBv2/trunk/fw/CLBv2_Design now contains: ◦ lm32_2 nd Needs some modifications to connect:  ipmux  lm32_1 st ◦ ipmuxNeeds “Wishbonization” ◦ clb_wrpcThe KM3NeT implementation of White Rabbit  Setting up simulation environment.  Struggle with packet filter classifier… SVN 13

August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology There is no way to reset the CLBv2 Watchdog timer? Talked to Eric Heine; it is foreseen to be able to switch the power for a complete string (not for individual DOMs). If a CLB hangs then the complete string could be power cycled… Is this the preferred solution? Implement a Watchdog timer? (Multiboot) Other concerns 14