Technology Development for InGaAs/InP-channel MOSFETs

Slides:



Advertisements
Similar presentations
by Alexander Glavtchev
Advertisements

Metal Oxide Semiconductor Field Effect Transistors
Derek Wright Monday, March 7th, 2005
SOGANG UNIVERSITY SOGANG UNIVERSITY. SEMICONDUCTOR DEVICE LAB. Introduction SD Lab. SOGANG Univ. Gil Yong Song.
Lateral Asymmetric Channel (LAC) Transistors
Introduction to CMOS VLSI Design Lecture 3: CMOS Transistor Theory David Harris Harvey Mudd College Spring 2004.
VLSI Design CMOS Transistor Theory. EE 447 VLSI Design 3: CMOS Transistor Theory2 Outline Introduction MOS Capacitor nMOS I-V Characteristics pMOS I-V.
The metal-oxide field-effect transistor (MOSFET)
CSCE 612: VLSI System Design Instructor: Jason D. Bakos.
1 Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh Mishra ECE and Materials Departments University of California, Santa Barbara, CA 2011 Device Research.
1 Scalable E-mode N-polar GaN MISFET devices and process with self-aligned source/drain regrowth Uttam Singisetti*, Man Hoi Wong, Sansaptak Dasgupta, Nidhi,
DRC mS/  m In 0.53 Ga 0.47 As MOSFET with 5 nm channel and self-aligned epitaxial raised source/drain Uttam Singisetti*, Mark A. Wistey, Greg.
Chap. 5 Field-effect transistors (FET) Importance for LSI/VLSI –Low fabrication cost –Small size –Low power consumption Applications –Microprocessors –Memories.
Lecture 2: CMOS Transistor Theory
1 In 0.53 Ga 0.47 As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth Uttam Singisetti PhD Defense Aug 21, 2009 *
© Digital Integrated Circuits 2nd Devices VLSI Devices  Intuitive understanding of device operation  Fundamental analytic models  Manual Models  Spice.
Modern VLSI Design 3e: Chapter 2 Copyright  1998, 2002 Prentice Hall PTR Topics n Derivation of transistor characteristics.
EE 466: VLSI Design Lecture 03.
Lecture 2 Chapter 2 MOS Transistors. Voltage along the channel V(y) = the voltage at a distance y along the channel V(y) is constrained by the following.
ECE 342 Electronic Circuits 2. MOS Transistors
MOS Capacitors MOS capacitors are the basic building blocks of CMOS transistors MOS capacitors distill the basic physics of MOS transistors MOS capacitors.
6.1 Transistor Operation 6.2 The Junction FET
The Devices Digital Integrated Circuit Design Andrea Bonfanti DEIB
100+ GHz Transistor Electronics: Present and Projected Capabilities , fax 2010 IEEE International Topical.
Introduction to FinFet
EXAMPLE 6.1 OBJECTIVE Fp = 0.288 V
Limitations of Digital Computation William Trapanese Richard Wong.
ENE 311 Lecture 9.
Grace Xing---EE30357 (Semiconductors II: Devices) 1 EE 30357: Semiconductors II: Devices Lecture Note #19 (02/27/09) MOS Field Effect Transistors Grace.
Prospects for High-Aspect-Ratio FinFETs in Low-Power Logic Mark Rodwell, Doron Elias University of California, Santa Barbara 3rd Berkeley Symposium on.
2010 IEEE Device Research Conference, June 21-23, Notre Dame, Indiana
Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and
1 Fundamentals of Microelectronics  CH1 Why Microelectronics?  CH2 Basic Physics of Semiconductors  CH3 Diode Circuits  CH4 Physics of Bipolar Transistors.
University of California Santa Barbara Yingda Dong Molecular Beam Epitaxy of Low Resistance Polycrystalline P-Type GaSb Y. Dong, D. Scott, Y. Wei, A.C.
CSCE 613: Fundamentals of VLSI Chip Design Instructor: Jason D. Bakos.
Network for Computational Nanotechnology (NCN) UC Berkeley, Univ.of Illinois, Norfolk State, Northwestern, Purdue, UTEP First-Time User Guide to MOSFET.
III-V/Ge Channel Engineering for Future CMOS
III-V MOSFETs: Scaling Laws, Scaling Limits,Fabrication Processes A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
12 nm-Gate-Length Ultrathin-Body InGaAs/InAs MOSFETs with 8
Ultrathin InAs-Channel MOSFETs on Si Substrates Cheng-Ying Huang 1, Xinyu Bao 2, Zhiyuan Ye 2, Sanghoon Lee 1, Hanwei Chiang 1, Haoran Li 1, Varistha Chobpattana.
HO #3: ELEN Review MOS TransistorsPage 1S. Saha Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended.
University of California, Santa Barbara
MOS Transistor Other handouts Project Description Other “assignments”
The MOS Transistor Polysilicon Aluminum. The NMOS Transistor Cross Section n areas have been doped with donor ions (arsenic) of concentration N D - electrons.
1 Materials Beyond Silicon Materials Beyond Silicon By Uma Aghoram.
Improved Regrowth of Self-Aligned Ohmic Contacts for III-V FETs North American Molecular Beam Epitaxy Conference (NAMBE), Mark A. Wistey Now at.
III-V CMOS: Device Design & Process Flows , fax ESSDERC Workshop on Germanium and III-V MOS Technology, Sept.
THz Bipolar Transistor Circuits: Technical Feasibility, Technology Development, Integrated Circuit Results ,
CHAPTER 6: MOSFET & RELATED DEVICES CHAPTER 6: MOSFET & RELATED DEVICES Part 2.
Metal-oxide-semiconductor field-effect transistors (MOSFETs) allow high density and low power dissipation. To reduce system cost and increase portability,
III-V MOS: Planar and Fin Technologies 2014 MRS Spring Meeting, April 23, San Francisco. M.J.W. Rodwell, UCSB III-V MOS: S. Lee, C.-Y. Huang, D. Elias,
Process Technologies For Sub-100-nm InP HBTs & InGaAs MOSFETs M. A. Wistey*, U. Singisetti, G. J. Burek, B. J. Thibeault, A. Baraskar, E. Lobisser, V.
Field Effect Transistor (FET)
Uttam Singisetti. , Mark A. Wistey, Greg J. Burek, Ashish K
ISCS 2008 InGaAs MOSFET with self-aligned Source/Drain by MBE regrowth Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Erdem Arkun, Ashish K. Baraskar,
Fatemeh (Samira) Soltani University of Victoria June 11 th
A Self-Aligned Epitaxial Regrowth Process for Sub-100-nm III-V FETs A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
Tunnel FETs Peng Wu Mar 30, 2017.
Floating-Gate Devices / Circuits
Lower Limits To Specific Contact Resistivity
Device Research Conference, June 19, 2012
Metal Semiconductor Field Effect Transistors
Contact Resistance Modeling in HEMT Devices
High Transconductance Surface Channel In0. 53Ga0
6.3.3 Short Channel Effects When the channel length is small (less than 1m), high field effect must be considered. For Si, a better approximation of field-dependent.
INTRODUCTION: MD. SHAFIQUL ISLAM ROLL: REGI:
Nanowire Gate-All-Around (GAA) FETs
MOS Capacitor Basics Metal SiO2
Record Extrinsic Transconductance (2. 45 mS/μm at VDS = 0
Beyond Si MOSFETs Part 1.
Presentation transcript:

Technology Development for InGaAs/InP-channel MOSFETs MRS Spring Symposium, Tutorial: Advanced CMOS—Substrates, Devices, Reliability, and Characterization, April 13, 2009, San Francisco Technology Development for InGaAs/InP-channel MOSFETs Mark Rodwell University of California, Santa Barbara rodwell@ece.ucsb.edu 805-893-3244, 805-893-5705 fax

Scope of Presentation Topic of discussion is channel materials for CMOS ...the potential use of III-V materials ...and their advantages and limitations To understand this, we must examine in some detail MOSFET scaling limits

Zeroth-Order MOSFET Operation

Bipolar Transistor ~ MOSFET Below Threshold

Field-Effect Transistor Operation source drain gate Positive Gate Voltage → reduced energy barrier → increased drain current

FETs: Computing Their Characteristics

FET Characteristics

FET Subthreshold Characteristics

Classical Long-Channel MOSFET Theory

Classic Long-Channel MOSFET Theory constant-current mobility-limited Ohmic velocity-limited

Classic Long-Channel FET : Far Above Threshold

Exponential, Square-Law, Linear FET Characteristics

Relevance of DC Parameters Digital circuit speed largely controlled by on-state current Standby power consumption controlled by off-state current Dynamic power consumption controlled by supply Voltage → Examine VLSI Power & Delay Relationships

Zeroth-Order VLSI Performance Analysis

CMOS Power Dissipation & Gate Delay

Why Large Current Density is Needed

Device Requirements High on-state current per unit gate width Low off-state current→ subthreshold slope Low device capacitance; but only to point where wires dominate Low supply voltage: probably 0.5 to 0.7 V

What Are Our Goals ? Low off-state current (10 nA/mm) for low static dissipation → good subthreshold slope → minimum Lg / Tox low gate tunneling, low band-band tunneling Low delay CFET DV/I d in gates where transistor capacitances dominate. ~1 fF/mm parasitic capacitances → low Cgs is desirable, but high Id is imperative Low delay Cwire DV/Id in gates where wiring capacitances dominate. large FET footprint → long wires between gates → need high Id / Wg ; target ~5 mA/mm @ DV= 0.7V target ~ 3 mA/mm @ DV= 0.5V

Improving FETs by Scaling

Goal double transistor bandwidth when used in any circuit → reduce 2:1 all capacitances and all transport delays → keep constant all resistances, voltages, currents Simple FET Scaling All lengths, widths, thicknesses reduced 2:1 S/D contact resistivity reduced 4:1 If Tox cannot scale with gate length, Cparasitic / Cgs increases, gm / Wg does not increase hence Cparasitic /gm does not scale

FET scaling: Output Conductance & DIBL transconductance output conductance → Keep Lg / Tox constant as we scale Lg

FET Scaling Laws Changes required to double transistor bandwidth: parameter change gate length decrease 2:1 gate dielectric capacitance density increase 2:1 gate dielectric equivalent thickness channel electron density source & drain contact resistance decrease 4:1 current density (mA/mm)

nm Transistors: it's all about the interfaces Metal-semiconductor interfaces (Ohmic contacts): very low resistivity Dielectric-semiconductor interfaces (Gate dielectrics): very high capacitance density Transistor & IC thermal resistivity.

FET Scaling Laws Changes required to double transistor bandwidth: parameter change gate length decrease 2:1 gate dielectric capacitance density increase 2:1 gate dielectric equivalent thickness channel electron density source & drain contact resistance decrease 4:1 current density (mA/mm) What do we do if gate dielectric cannot be further scaled ?

Why Consider MOSFETs with III-V Channels ? If FETs cannot be further scaled, instead increase electron velocity: Id / Wg = qnsv Id / Qtransit = v / Lg III-V materials → lower m*→ higher velocity ( need > 1000 cm2 /V-s mobility) Candidate materials (?) InxGa1-xAs, InP, InAs ( InSb, GaAs) Difficulties: High-K dielectrics III-V growth on Si building MOSFETs low m* constrains vertical scaling, reduces drive current

III-V CMOS: The Benefit Is Low Mass, Not High Mobility low effective mass → high currents mobilities above ~ 1000 cm2/V-s of little benefit at 22 nm Lg

III-V MOSFETs for VLSI What is it ? MOSFET with an InGaAs channel Why do it ? low electron effective mass→ higher electron velocity more current, less charge at a given insulator thickness & gate length very low access resistance What are the problems ? low electron effective mass→ constraints on scaling ! must grow high-K on InGaAs, must grow InGaAs on Si Device characteristics must be considered in more detail

III-V MOSFET Characteristics

Low Effective Mass Impairs Vertical Scaling Shallow electron distribution needed for high gm / Gds ratio, low drain-induced barrier lowering. Energy of Lth well state For thin wells, only 1st state can be populated. For very thin wells, 1st state approaches L-valley. Only one vertical state in well. Minimum ~ 5 nm well thickness. → Hard to scale below 22 nm Lg.

Semiconductor (Wavefunction Depth) Capacitance

Density-Of-States Capacitance and n is the # of band minima Two implications: - With Ns >1013/cm2, electrons populate satellite valleys - Transconductance dominated by finite state density Fischetti et al, IEDM2007 Solomon & Laux , IEDM2001

Current Including Density of States, Wavefunction Depth ...but with III-V materials, we must also consider degenerate carrier concentrations.

Current of Degenerate & Ballistic FET

2D vs. 1D Field-Effect Transistors in Ballistic Limit

2D FET vs. Carbon Nanotube FET

Ballistic/Degenerate Drive Current vs. Gate Voltage More careful analyses by Taur & Asbeck Groups, UCSD; Fischetti Group: U-Mass: IEDM2007

Drive Current in the Ballistic & Degenerate Limits Error bars on Si data points correct for (Ef-Ec)>> kT approximation n = # band minima cdos,o = density of states capacitance for m*=mo & n=1

High Drive Current Requires Low Access Resistance

What channel material should we use ? Materials Selection; What channel material should we use ?

Common III-V Semiconductors B. Brar InAs 360 GaSb 770 AlSb 1550 GaAs 1420 InGaAs 760 InAlAs 1460 InP 1350 200 500 450 250 550 170 InGaP 1900 InSb 220 150 AlAs 2170 6.48 A lattice constant 6.48 A lattice constant 5.65 A lattice constant; grown on GaAs 5.87 A lattice constant; grown on InP

Semiconductor & Metal Band Alignments M. Wistey

Materials of Interest material Si Ge GaAs InP In0.53Ga0.47As InAs Source: Ioffe Institute http://www.ioffe.rssi.ru/SVA/NSM/Semicond rough #s only material Si Ge GaAs InP In0.53Ga0.47As InAs n 6 6 1 1 1 1 m*/m0 0.98 ml 1.6 ml 0.063 0.08 0.04 0.023 0.19 mt 0.08 mt G-(L/X) separation, eV -- -- 0.29 ~0.5 0.5 0.73 bandgap, eV 1.12 0.66 1.42 1.34 0.74 0.35 mobility, cm2/V-s 1000 2000 5000 3000 10,000 25,000 high-field velocity 1E7 1E7 1-2E7 3.5E7 3.5E7 ???

Drive Current in the Ballistic & Degenerate Limits Error bars on Si data points correct for (Ef-Ec)>> kT approximation Ge n = # band minima cdos,o = density of states capacitance for m*=mo & n=1 Si InGaAs InP

Intervalley Separation Source: Ioffe Institute http://www.ioffe.rssi.ru/SVA/NSM/Semicond Intervalley Separation Intervalley separation sets: -high-field velocity through intervalley scattering -maximum electron density in channel without increased carrier effective mass

Choosing Channel Material: Other Considerations Ge: low bandgap GaAs: low intervalley separation InP: good intervalley separation Good contacts only via InGaAs→ band offsets moderate mass→ better vertical scaling InGaAs good intervalley separation bandgap too low ? → quantization low mass→ high well energy→ poor vertical scaling InAs: good intervalley separation bandgap too low very low mass→ high well energy→ poor vertical scaling

Non-Parabolic Bands

Non-Parabolic Bands T. Ishibashi, IEEE Transactions on Electron Devices, 48,11 , Nov. 2001,

MOSFET Design Assuming In0.5Ga0.5As Channel

Device Design / Fabrication Goals gate overdrive 700 500 300 mV drive current 5 3 1.4 mA/mm Ns 6*1012 4*1012 2.5*1012 1/cm2 Dielectric: EOT 0.6 nm target, ~1.5 nm short term Channel : 5 nm thick m > 1000 cm2/V-s @ 5 nm, 6*1012 /cm2 S/D access resistance: 20 W-mm resistivity→ 0.5 W-mm2 contacts , ~2*1013 /cm2 , ~4*1019 /cm3 , 5 nm depth

Target Device Parameters

Device Structure & Process Flow

Device Fabrication: Goals & Challenges Source Drain Gate III-V HEMTs are built like this→ K Shinohara ....and most III-V MOSFETs are built like this→

Device Fabrication: Goals & Challenges Yet, we are developing, at great effort, a structure like this → Why ? Source Drain Gate K Shinohara

Why not just build HEMTs ? Gate Barrier is Low ! Gate barrier is low: ~0.6 eV Source Drain Gate K Shinohara Tunneling through barrier → sets minimum thickness Emission over barrier → limits 2D carrier density

Why not just build HEMTs ? Gate barrier also lies under source / drain contacts Source Drain Gate N+ layer widegap barrier layer K Shinohara low leakage: need high barrier under gate low resistance: need low barrier under contacts

How do we make this device ? The Structure We Need -- is Much Like a Si MOSFET no gate barrier under S/D contacts high-K gate barrier Overlap between gate and N+ source/drain How do we make this device ?

S/D Regrowth Process Flow

Regrown S/D FETs: Versions Wistey et al 2008 MBE conference Regrown S/D FETs: Versions regrowth under sidewalls planar regrowth need thin sidewalls (now ~20-30 nm) ..or doping under sidewalls

S/D Regrowth by Migration-Enhanced Epitaxy Wistey et al 2008 MBE conference MBE growth is line-of-sight → gaps in regrowth near gate edges MEE provides surface migration during regrowth→ eliminates gaps SEM Cross Section SEM Side View (Oblique) Top of gate SiO2 dummy gate SiO2 dummy gate Side of gate InGaAs Regrowth InGaAs Regrowth Original Interface SEM: Greg Burek SEM: Uttam Singisetti No gaps Smooth surfaces. High Si activation (4x1019 cm-3). Quasi-selective: no growth on sidewalls

Self-Aligned Planar III-V MOSFETs by Regrowth Wistey Singisetti Burek Lee Self-Aligned Planar III-V MOSFETs by Regrowth N+ InGaAs regrowth, Mo contact metal gate Mo contact metal

Self-Aligned Planar III-V MOSFETs by Regrowth Wistey Singisetti Burek Lee Self-Aligned Planar III-V MOSFETs by Regrowth

Regrown S/D FETs: Images

Regrown S/D FETs: Images

III-V MOS

InGaAs / InP MOSFETs: Why and Why Not low m*/m0 → high vcarrier → more current low m*/m0 → low density of states → less current ballistic / degenerate calculation Error bars on Si data points correct for (Ef-Ec)>> kT approximation n = # band minima cdos,o = density of states capacitance for m*=mo & n=1 Low m* impairs vertical (hence Lg ) scaling ; InGaAs no good below 22-nm. InGaAs allows very low access resistance Si wins if high-K scales below 0.6 nm EOT; otherwise, III-V has a chance

InGaAs/InP Channel MOSFETs for VLSI Low-m* materials are beneficial only if EOT cannot scale below ~1/2 nm Devices cannot scale much below 22 nm Lg→ limits IC density Little CV/I benefit in gate lengths below 22 nm Lg Need device structure with very low access resistance radical re-work of device structure & process flow Gate dielectrics, III-V growth on Si: also under intensive development