(1) Modeling Digital Systems © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.

Slides:



Advertisements
Similar presentations
CSE 341 Verilog HDL An Introduction. Hardware Specification Languages Verilog  Similar syntax to C  Commonly used in  Industry (USA & Japan) VHDL 
Advertisements

Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
History TTL-logic PAL (Programmable Array Logic)
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4a1 Design for Testability Theory and Practice Lecture 4a: Simulation n What is simulation? n Design.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
Models of Computation for Embedded System Design Alvise Bonivento.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
331 W05.1Spring :332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152.
مرتضي صاحب الزماني  The registers are master-slave flip-flops (a.k.a. edge-triggered) –At the beginning of each cycle, propagate values from primary inputs.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
(1) Programming Mechanics © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
1 H ardware D escription L anguages Basic Language Concepts.
CSET 4650 Field Programmable Logic Devices
ECE 2372 Modern Digital System Design
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
Department of Communication Engineering, NCTU
1 H ardware D escription L anguages Modeling Digital Systems.
ENG6090 RCS1 ENG6090 Reconfigurable Computing Systems Hardware Description Languages Part 5: Modeling Structure.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
Module 1.2 Introduction to Verilog
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Anurag Dwivedi. Basic Block - Gates Gates -> Flip Flops.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
TOPIC : Different levels of Fault model UNIT 2 : Fault Modeling Module 2.1 Modeling Physical fault to logical fault.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
1 Copyright  2001 Pao-Ann Hsiung SW HW Module Outline l Introduction l Unified HW/SW Representations l HW/SW Partitioning Techniques l Integrated HW/SW.
VHDL – Behavioral Modeling and Registered Elements ENGIN 341 – Advanced Digital Design University of Massachusetts Boston Department of Engineering Dr.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
Introduction to ASIC flow and Verilog HDL
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
Apr. 3, 2000Systems Architecture I1 Introduction to VHDL (CS 570) Jeremy R. Johnson Wed. Nov. 8, 2000.
May 9, 2001Systems Architecture I1 Systems Architecture I (CS ) Lab 5: Introduction to VHDL Jeremy R. Johnson May 9, 2001.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
(1) Modeling Structure © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
VHDL Tutorial.
System-on-Chip Design Homework Solutions
Dept. of Electrical Engineering
Mohamed Younis CMCS 411, Computer Architecture 1 CMSC Computer Architecture Lecture 8 Hardware Design Languages February 21, 2001
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 61 Lecture 6 Logic Simulation n What is simulation? n Design verification n Circuit modeling n True-value.
Elements of Structural Models
Combinational Logic Design
Basic Language Concepts
Systems Architecture Lab: Introduction to VHDL
Design Entry: Schematic Capture and VHDL
VLSI Testing Lecture 5: Logic Simulation
EEE2135 Digital Logic Design Chapter 1. Introduction
B e h a v i o r a l to R T L Coding
Topics Modeling with hardware description languages (HDLs).
VLSI Testing Lecture 5: Logic Simulation
Vishwani D. Agrawal Department of ECE, Auburn University
Topics Modeling with hardware description languages (HDLs).
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
Hardware Description Languages
332:437 Lecture 8 Verilog and Finite State Machines
VHDL Introduction.
ECE 551: Digital System Design & Synthesis
H a r d w a r e M o d e l i n g O v e r v i e w
332:437 Lecture 8 Verilog and Finite State Machines
Presentation transcript:

(1) Modeling Digital Systems © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006

(2) Systems Hierarchy Functions CPUs, memories ALUs, registers Switches Increasing Fidelity #of events Level of Abstraction

(3) Describing Systems From Webster’s Dictionary: –System: “An assemblage of objects united by some form of regular interaction or dependence” What aspects of a digital system do we want to describe? –Interface –Function: behavioral and structural Tothe Processor microphone headphones speakers amplifier ZPS 61899

(4) What Elements Should be in a Description? Descriptions should be at multiple levels of abstraction –The descriptive elements must be common to multiple levels of hierarchy The elements should enable meaningful and accurate simulation of hardware described using the elements –Elements should have attributes of time as well as function The elements should enable the generation of hardware elements that realize a correct physical implementation –Existence of a mapping from elements to VLSI devices

(5) What Elements Should be in a Description? VHDL was conceived for the description of digital systems –From switches to networked systems Keep in mind the pragmatic issues of design re-use and portability of descriptions –Portability across technology generations –Portability across a range of cost/performance points Attributes of digital systems serve as the starting point –Language features designed to capture the key attributes

(6) Attributes of Digital Systems Digital systems are about signals and their values Events, propagation delays, concurrency –Signal value changes at specific points in time Time ordered sequence of events produces a waveform

(7) Attributes of Digital Systems: Timing Timing: computation of events takes place at specific points in time Need to “wait for” an event: in this case the clock Timing is an attribute of both synchronous and asynchronous systems D Clk S Q R Clk D Q Time (ns) Triggering edge Q

(8) Attributes of Digital Systems: Timing Example: Asynchronous communication No global clock Still need to wait for events on specific signals TRANSMIT ACK

(9) Attributes of Digital Systems: Signal Values possible signal values? We associate logical values with the state of a signal Signal Values: IEEE 1164 Value System ValueInterpretation UUninitialized XForcing Unknown 0Forcing 0 1Forcing 1 ZHigh Impedance WWeak Unknown LWeak 0 HWeak 1 -Don’t Care

(10) Attributes of Digital Systems: Multiple Drivers Shared Signals –multiple drivers How is the value of the signal determined? –arbitration protocols –wired logic

(11) Modeling Digital Systems We seek to describe attributes of digital systems common to multiple levels of abstraction –events, propagation delays, concurrency –waveforms and timing –signal values –shared signals Hardware description languages must provide constructs for naturally describing these attributes of a specific design –simulators use such descriptions for “mimicing” the physical system –synthesis compilers use such descriptions for synthesizing manufacturable hardware specifications that conform to this description

(12) Execution Models for VHDL Programs Two classes of execution models govern the application of VHDL programs For Simulation –Discrete event simulation –Understanding is invaluable in debugging programs For Synthesis –Hardware inference –The resulting circuit is a function of the building blocks used for implementation Primitives: NAND vs. NOR Cost/performance

(13) Simulation vs. Synthesis Simulation and synthesis are complementary processes

(14) Simulation of Digital Systems Digital systems are modeled as the generation of events – value transitions – on signals Discrete event simulations manage the generation and ordering of events –Correct sequencing of event processing –Correct sequencing of computations caused by ns v1  v3  v5  Head 0

(15) Discrete Event Simulation: Example 1010 U1U1 U0U0 0101 1010 0101 1010 1010 5ns 10ns Initial state: a = b = 1, sum = carry = U Event List HeadSimulation Time 0ns U1U1 U0U0 New event generated from input Update time Update signal values, execute, generate new events, update time Update signal values, execute, generate new events b a sum carry

(16) Discrete Event Simulation Management of simulation time: ordering of events Two step model of the progression of time –Evaluate all affected components at the current time: events on input signals –Schedule future events and move to the next time step: the next time at which events take place

(17) Simulation Modeling VHDL programs describe the generation of events in digital systems Discrete event simulator manages event ordering and progression of time Now we can quantitatively understand accuracy vs. time trade-offs –Greater detail  more events  greater accuracy –Less detail  smaller number of events  faster simulation speed b a sum carry VHDL Model compiler Discrete Event Simulator from Vendor

(18) Synthesis and Hardware Inference Both processes can produce very different results! Synthesis engine HDL Design Specification Author HDL Author Hardware Design

(19) Summary VHDL is used to describe digital systems and hence has language constructs for key attributes –Events, propagation delays, and concurrency –Timing, and waveforms –Signal values and use of multiple drivers for a signal VHDL has an underlying discrete event simulation model –Model the generation of events on signals –Built in mechanisms for managing events and the progression of time –Designer simply focuses on writing accurate descriptions