Presentation is loading. Please wait.

Presentation is loading. Please wait.

331 W05.1Spring 2006 14:332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152.

Similar presentations


Presentation on theme: "331 W05.1Spring 2006 14:332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152."— Presentation transcript:

1 331 W05.1Spring 2006 14:332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152 slides and Mary Jane Irwin’s PSU CSE331 slides]

2 331 W05.2Spring 2006 To make the architect’s crucial task even conceivable, it is necessary to separate the architecture, the definition of the product as perceivable by the user, from its implementation. Architecture versus implementation defines a clean boundary between parts of the design task, and there is plenty of work on each side of it. The Mythical Man-Month, Brooks, pg. 256

3 331 W05.3Spring 2006 Processor Organization  Processor control needs to have the l Ability to input instructions from memory l Logic to control instruction sequencing and to issue signals that control the way information flows between the datapath components and the operations performed by them  Processor datapath needs to have the l Ability to load data from and store data to memory l Interconnected components - functional units (e.g., ALU) and storage units (e.g., Register File) - for executing the ISA  Need a way to describe the organization l High level (block diagram) description l Schematic (gate level) description l Textural (simulation/synthesis level) description

4 331 W05.4Spring 2006 Levels of Description of a Digital System Architectural Functional/Behavioral Register Transfer Logic Circuit models programmer's view at a high level; written in your favorite programming language more detailed model, like the block diagram view model is in terms of datapath FUs, registers, busses; register xfer operations are clock phase accurate model is in terms of logic gates; delay information can be specified for gates; digital waveforms model is in terms of circuits (electrical behavior); accurate analog waveforms Less Abstract More Accurate Slower Simulation Special languages + simulation systems for describing the inherent parallel activity in hardware (VHDL and verilog) Schematic capture + logic simulation package like LogicWorks

5 331 W05.5Spring 2006 Why Simulate First?  Physical breadboarding l discrete components/lower scale integration precedes actual construction of the prototype l verification of the initial design  No longer possible as designs reach higher levels of integration!  Simulation before construction - aka functional verification l high level constructs means faster to design and test l can play “what if” more easily l limited performance (can’t usually simulate all possible input transitions) and accuracy (can’t usually model wiring delays accurately), however

6 331 W05.6Spring 2006 VHDL (VHSIC Hardware Description Language)  Goals: l Support design, documentation, simulation & verification, and synthesis of hardware l Allow integrated design at multiple levels - behavioral and structural (gate level)  Concepts: l Design entity-architecture descriptions l Time-based execution (discrete event simulation) model Design Entity-Architecture == Hardware Component Entity == External Characteristics Architecture (Body ) == Internal Behavior or Structure

7 331 W05.7Spring 2006 Entity Interface  Externally visible characteristics l Ports: channels of communication -(inputs, outputs, clocks, control) l Generic parameters: define class of components -(timing characteristics, size, fan-out) entity name_of_component is port(a,b: in std_logic; y: out std_logic); end name_of_component;

8 331 W05.8Spring 2006 Architecture Body  Internal behavior or structure of circuit l Declaration of module’s internal signals l Description of behavior of circuit -concurrent behavioral description - collection of Concurrent Signal Assignment (CSA) statements executed concurrently -process behavioral description - CSAs and variable assignment statements within a process description -structural description - system described in terms of the interconnections of its components architecture behavioral of name_of_component is signal s1,s2: std_logic; begin - description of behavior of ports and signals; end behavioral;

9 331 W05.9Spring 2006 VHDL Example: nor-nor gate entity nor_nor_logic is port (a,b,c: in std_logic; y: out std_logic); end nor_nor_logic; architecture concurrent_behavior of nor_nor_logic is signal t0: std_logic; begin t0 <= a nor b; y <= t0 nor c; end concurrent_behavior; a b t0 y c

10 331 W05.10Spring 2006 Things to Notice  <= indicates a Concurrent Signal Assignment (CSA) l like “real” logic, nor_nor “process” is in an infinite loop  t0 and y are signals, not variables l they change when ever the inputs (a, b, or c) change l std_logic conforms to the IEEE 1164 standard library IEEE; use IEEE.std_logic_1164.all; entity nor_nor_logic is...

11 331 W05.11Spring 2006 Modeling Delays  Can model temporal, as well as functional behavior, with delays in CSAs l t0 changes 1 ns after a or b changes entity nor_nor_logic is port (a,b,c: in std_logic; y: out std_logic); end nor_nor_logic; architecture concurrent_behavior of nor_nor_logic is signal t0: std_logic; begin t0 <= (a nor b) after 1 ns; y <= (t0 nor c) after 1 ns; end concurrent_behavior;

12 331 W05.12Spring 2006 Review: An Entity-Architecture Example entity nor_nor_logic is port(a,b,c: in std_logic; y: out std_logic); end nor_nor_logic; architecture concurrent_behavior of nor_nor_logic is signal t0: std_logic; begin t0 <= (a nor b) after 1 ns; y <= (t0 nor c) after 1 ns; end concurrent_behavior; a b t0 y c

13 331 W05.13Spring 2006 Entity-Architecture Features  Entity defines externally visible characteristics l Ports: channels of communication -signal names for inputs, outputs, clocks, control l Generic parameters: define class of components -timing characteristics, size (fan-in), fan-out  Architecture defines the internal behavior or structure of circuit l Declaration of internal signals l Description of behavior -concurrent behavioral description: collection of Concurrent Signal Assignment (CSA) statements (indicated by <=) executed concurrently; can also model temporal behavior with the delay annotation -process behavioral description: CSAs and variable assignment statements within a process description -structural description: system described in terms of the interconnections of its components

14 331 W05.14Spring 2006 New Object: Signals  Digital systems are about signals, not variables signal <= value expressions after time expression l signals are analogous to wires and change when ever their inputs change - time-value pairs resulting in a waveform l std_logic conforms to the 9-value IEEE 1164 standard for signals When a signal has multiple drivers (e.g., a bus), the value of the resulting signal is determined by a resolution function for std_logic and std_logic_vector the resolution function (lookup table) is provided by std_logic_1164 package

15 331 W05.15Spring 2006 Model of Execution  CSA’s are executed concurrently - textural order of the statements is irrelevant to the correct operation  Two stage model of circuit execution l first stage -all CSA’s with events occurring at the current time on signals on their right hand side (RHS) are evaluated -all future events that are generated from this evaluation are scheduled on the events list l second stage -time is advanced to the time of the next event  VHDL programmer specifies l events - with CSA’s l delays - with CSA’s with delay annotation l concurrency - by having a distinct CSA for each signal

16 331 W05.16Spring 2006 Constant Objects  Constant parameters provide default values l may be overridden on each instance l attach value to symbol as attribute entity nor_nor_logic is port(a,b,c: in std_logic; y: out std_logic); end nor_nor_logic; architecture concurrent_behavior of nor_nor_logic is signal t0: std_logic; constant gate_delay: Time := 1 ns; begin t0 <= (a nor b) after gate_delay; y <= (t0 nor c) after gate_delay; end concurrent_behavior;

17 331 W05.17Spring 2006 Bit-Vector Data Types  Std_logic_vector (31 downto 0) is equivalent to a 32-bit bus l Can convert it to a 32 bit integer entity nand32 is port(a,b: in std_logic_vector (31 downto 0); y: out std_logic_vector (31 downto 0)); end nand32; architecture concurrent_behavior of nand32 is begin y <= a nand b; end concurrent_behavior;  Analyzer (compiler) expands the architecture into 32 2-input nand gates with the inputs connected appropriately

18 331 W05.18Spring 2006 Conditional Signal Assignment Statement  Conditional CSA l order is important - the first conditional expression that evaluates to true determines the output signal entity mux4 is port(In0,In1,In2,In3: in std_logic_vector (7 downto 0); S0,S1: in std_logic; Z: out std_logic_vector (7 downto 0)); end mux4; architecture behavior of mux4 is begin Z <= In0 after 5 ns when S0 = ‘0’ and S1 = ‘0’ else In1 after 5 ns when S0 = ‘0’ and S1 = ‘1’ else In2 after 5 ns when S0 = ‘1’ and S1 = ‘0’ else In3 after 5 ns when S0 = ‘1’ and S1 = ‘1’ else “00000000” after 5 ns end behavior; 00 S0 In0 Z S1 In1 In2 In3 01 10 11

19 331 W05.19Spring 2006 Selected Signal Assignment Statement  Selected CSA l all choices are evaluated, but only one must be true entity reg_file is port(addr1,addr2: in std_logic_vector (1 downto 0); dout1, dout2: out std_logic_vector (31 downto 0)); end reg_file; architecture behavior of reg_file is signal reg0: std_logic_vector (31 downto 0) := to_stdlogicvector (x”00000000”); signal reg1,reg2: std_logic_vector (31 downto 0) := to_stdlogicvector (x”ffffffff”); begin with addr1 select dout1 <= reg0 after 5 ns when “00”, <= reg1 after 5 ns when “01”, <= reg2 after 5 ns when others; with addr2 select dout2 <= reg0 after 5 ns when “00”, <= reg1 after 5 ns when “01”, <= reg2 after 5 ns when others; end behavior;

20 331 W05.20Spring 2006 Summary  Introduction to VHDL l A language to describe hardware -entity = symbol, architecture ~ schematic, signals = wires l Inherently concurrent (parallel) l Has time as concept l Behavioral descriptions of a component -can be specified using CSAs -can be specified using one or more processes and sequential statements l Structural descriptions of a system are specified in terms of its interconnections -behavioral models of each component must be provided


Download ppt "331 W05.1Spring 2006 14:332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152."

Similar presentations


Ads by Google