3 Dec, 2013 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.

Slides:



Advertisements
Similar presentations
April 30, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Advertisements

Internal Logic Analyzer Final presentation-part B
June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Reliable Data Storage using Reed Solomon Code Supervised by: Isaschar (Zigi) Walter Performed by: Ilan Rosenfeld, Moshe Karl Spring 2004 Midterm Presentation.
October 8, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Technion – Israel Institute of Technology Department of Electrical Engineering High Speed Digital Systems Lab Mid-Term Presentation Performed by: Roni.
NS Training Hardware. System Controller Module.
Hardware Overview Net+ARM – Well Suited for Embedded Ethernet
Paolo Musico on behalf of KM3NeT collaboration The Central Logic Board for the KM3NeT detector: design and production Abstract The KM3NeT deep sea neutrino.
328eForth for Arduino Uno C. H. Ting February 16, 2012 SVFIG.
Marseille 30 January 2013 David Calvo IFIC (CSIC – Universidad de Valencia) CLB: Current status and development on CLBv2 in Valencia.
SLAAC Hardware Status Brian Schott Provo, UT September 1999.
Final presentation – part B Olga Liberman and Yoav Shvartz Advisor: Moshe Porian April 2013 S YMBOL G ENERATOR 2 semester project.
February 26, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
August 06, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Electrocardiogram (ECG) application operation – Part B Performed By: Ran Geler Mor Levy Instructor:Moshe Porian Project Duration: 2 Semesters Spring 2012.
MICROCONTROLLER SYSTEMS Part 1. Figure 1.1Elements of a digital controller CPU Central Processing Unit Input Peripherals Output Peripherals ROM Read Only.
Electrocardiogram (ECG) application operation – Part A Performed By: Ran Geler Mor Levy Instructor:Moshe Porian Project Duration: 2 Semesters Spring 2012.
July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Features of the new Alibava firmware: 1. Universal for laboratory use (readout of stand-alone detector via USB interface) and for the telescope readout.
ATtiny23131 A SEMINAR ON AVR MICROCONTROLLER ATtiny2313.
PROJECT - ZYNQ Yakir Peretz Idan Homri Semester - winter 2014 Duration - one semester.
May 29, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Fast Fault Finder A Machine Protection Component.
Project Final Semester A Presentation Implementing a compressor in software and decompression in hardware Presents by - Schreiber Beeri Yavich Alon Guided.
December 04, 2013KM3NeT, CLBv2 Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
January 28, 2015CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
GBT SCA overview Slide 1-5 Work status Slide 6-10 Shuaib Ahmad Khan.
Part A Final Dor Obstbaum Kami Elbaz Advisor: Moshe Porian August 2012 FPGA S ETTING U SING F LASH.
August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Gueorgui ANTCHEV PH-TOT TOTEM Collaboration Meeting – December TOTFED – Firmware (1) Firmware: - 3 different ALTERA FPGA’s Cyclone Stratix Stratix.
July, IFIC (CSIC – Universidad de Valencia) CLB: MULTIBOOT 1.
January 28-30, 2014KM3NeT, Electronics Workshop A‘dam Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
NIKHEF 2014 David Calvo IFIC (CSIC – Universidad de Valencia) Time to Digital Converters for KM3NeT Data Readout System.
December 10, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Internal Logic Analyzer Characterization presentation By: Moran Katz and Zvika Pery Mentor: Moshe Porian Dual-semester project Spring 2012.
SL-PGA firmware overview M. Sozzi Pisa - January 30/31, 2014.
S.Anvar, V.Gautard, H.Le Provost, F.Louis, K.Menager, Y.Moudden, B.Vallage, E.Zonca, on behalf of the KM3NeT consortium 1 IRFU/SEDI-CEA Saclay F
28 Jan, 2015 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
Rutherford Appleton Laboratory September 1999Fifth Workshop on Electronics for LHC Presented by S. Quinton.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 14, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
29 Oct, 2014 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
Microcontrollers JULES CALELLA. Microcontrollers vs Microprocessors  Microprocessors – do not contain RAM, ROM, I/O  Microcontrollers – The whole package.
November 2014, Groningen/Dwingeloo, the Netherlands 3rd International VLBI Technology Workshop Peter Jansweijer Nikhef Amsterdam Electronics- Technology.
LM32 DEVELOPMENTS ONGOING WORK ON TDCs AND OTHER ISSUES (LM32) Diego Real David Calvo CLB group online meeting, 27 March
Genova May 2013 Diego Real – David Calvo IFIC (CSIC – Universidad de Valencia) CLBv2 1.
I 2 C FOR SENSORS IN THE DOM Nestor Institute Koutsoumpos Vasileios - Nestor Institute 1.
March 27, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology November 21, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
System on a Programmable Chip (System on a Reprogrammable Chip)
May 8, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
ODE Workshop, LIP, 08/04/05 SRP: Current Status Irakli MANDJAVIDZE DAPNIA, CEA Saclay, Gif-sur-Yvette, France.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 19, 2012KM3NeT, CLB/DAQ Videocon KM3NeT CLBv2 1.
July 31, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
April 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
White Rabbit and KM3NeT Peter Jansweijer, on behalf of KM3NeT
Diego Real, IFIC Spain, KM3NeT Electronics Coordinator
WR & KM3NeT Peter Jansweijer
CLB: Current status and development
KM3NeT CLBv2.
KM3NeT CLBv2.
KM3NeT CLBv2.
Erno DAVID, Tivadar KISS Wigner Research Center for Physics (HU)
Kostas Manolopoulos Tasos Belias
KM3NeT CLBv2.
MULTIBOOT AND SPI FLASH MEMORY
Slave cores Etherbone Accessible device Etherbone Accessible device E
Преглед Начин функционисања Имплементације
Presentation transcript:

3 Dec, 2013 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development

l CLBV2 PROTOYPES 25 FPGAs will be order The first protoypes are foreseen for 17 of December. (Valencia) The protoypes will be produced using lead: Higher quality To avoid whiskers 2

l 2nd LM32 INTEGRATION (FIRMWARE) TDC, AES, State Machine UART, GPIO, 3XI2C, SPI integrated all together New “Multiboot” slave in 0x0000C00 New “Start Time Slice UTC” slave in in 0x0000D00 Based on 3

l 2nd LM32 INTEGRATION (FIRMWARE) Registers proposal is updated in googledocs 4 more addresses are needed for 2xI2C ports, Nanobeacon and Watchdog slaves Should we increase the secondary crossbar? 4

l 5 2nd LM32 INTEGRATION (FIRMWARE) Changes LM32_2n_pkg.vhd stmach_pkg.vhd aes_pkg.vhd tdc_pkg.vhd xwb_aes xwb_tdc xwb_stmach New: tslice_pkg.vhd i_aes i_tdc i_time slice i_state machine I will comment with Peter offline with more details to be synchronized with EASE tool Foreseen: Watchdog Nanobeacon

l 6 2nd LM32 INTEGRATION (FIRMWARE) Whisbone slaves codes are stored in: SVN: \trunk\fw\CLBv2_Design\lm32_2nd\modules\wishbone -wb_aes -wb_tdc -wb_stmach -wb_mboot Whole project is stored in: SVN: CLBv2\trunk\fw\TestDesigns\test_tdc_hydro_stmach

l 7 2nd LM32 INTEGRATION (SOFTWARE) Shell commands, drivers and libraries for wishbone slaves implemented and included in: SVN: CLBv2\trunk\sw\embedded\TestDesigns\test_tdc_hydro_stmach TDC: Core ON/OFF Channels OF/OFF Multiboot Multiboot Address trigger ON State Machine DOM id nº bytes of time slice Run number Start Time Slice Duration in ms or us

Fifo 31 TDCs TDC0 Management & Control 31 PMTs ADC Management & Control Hydrophone Fifo TDC 30 Fifo WB Crossbar (1x8) M M M M M S M M M M S State Machine l STATE MACHINE: TEST TDC MEMORY Debug RS232 UART S M JAVA APLICATION (GENOVA) 8 HYDRO MEMORY TDC AES Rx_mac2buf RxPacket Buffer 64KB IP/UDP Packet Buffer Stream Selector (IPMUX) Rx_buf2data RxPort 1 RxPort 2 RxPort_m Management & Config. Tx_pkt2mac Tx_data2buf TxPort 1 TxPort 2 TxPort_m Flags Rx Stream Select TxPacket Buffer 32KB Flags Tx Stream Select Pause Frame S Management & Control

THANKS FOR YOUR ATTENTION!

FIRMWARE OVERVIEW Rx_mac2buf I2C Fifo 31 TDCs TDC0 Management & Control Data Control Wishbone bus RxPacket Buffer 64KB IP/UDP Packet Buffer Stream Selector (IPMUX) Rx_buf2data RxPort 1 RxPort 2 RxPort_m Management & Config. Tx_pkt2mac Tx_data2buf TxPort 1 TxPort 2 TxPort_m Flags Rx Stream Select TxPacket Buffer 32KB Flags Tx Stream Select 31 PMTs UTC time & Clock (PPS, 125 MHz) Pause Frame ADC Management & Control Hydrophone Fifo TDC 30 Fifo Nano Beacon GPIO Debug LEDs I2C Debug RS232 Temp Compass Tilt Point to Point interconnection Xilinx Kintex-7 Start Time Slice UTC & Offset counter since Time Slice Start MEM S 2 nd CPU LM32 M M WB Crossbar (1x8) WB Crossbar (3x2) S M S M M S S M M M SS S UART S M M S M M State Machine SPI S M Flash Multiboot Management & Control M S S 10