III-V CMOS: Device Design & Process Flows 805-893-3244, 805-893-5705 fax ESSDERC Workshop on Germanium and III-V MOS Technology, Sept.

Slides:



Advertisements
Similar presentations
6.1 Transistor Operation 6.2 The Junction FET
Advertisements

IEEE Device Research Conference, June , Notre Dame
Derek Wright Monday, March 7th, 2005
Improved Migration-Enhanced Epitaxy for Self-Aligned InGaAs Devices Electronic Materials Conference (EMC), Mark A. Wistey University of California,
Spring 2007EE130 Lecture 41, Slide 1 Lecture #41 QUIZ #6 (Friday, May 4) Material of HW#12 & HW#13 (Lectures 33 through 38) –MOS non-idealities, V T adjustment;
Introduction to CMOS VLSI Design Lecture 3: CMOS Transistor Theory David Harris Harvey Mudd College Spring 2004.
VLSI Design CMOS Transistor Theory. EE 447 VLSI Design 3: CMOS Transistor Theory2 Outline Introduction MOS Capacitor nMOS I-V Characteristics pMOS I-V.
Introduction to CMOS VLSI Design Lecture 3: CMOS Transistor Theory
CSCE 612: VLSI System Design Instructor: Jason D. Bakos.
1 Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh Mishra ECE and Materials Departments University of California, Santa Barbara, CA 2011 Device Research.
1 Scalable E-mode N-polar GaN MISFET devices and process with self-aligned source/drain regrowth Uttam Singisetti*, Man Hoi Wong, Sansaptak Dasgupta, Nidhi,
DRC mS/  m In 0.53 Ga 0.47 As MOSFET with 5 nm channel and self-aligned epitaxial raised source/drain Uttam Singisetti*, Mark A. Wistey, Greg.
1 Interface roughness scattering in ultra-thin GaN channels in N-polar enhancement-mode GaN MISFETs Uttam Singisetti*, Man Hoi Wong, Jim Speck, and Umesh.
Lecture 2: CMOS Transistor Theory
1 In 0.53 Ga 0.47 As MOSFETs with 5 nm channel and self-aligned source/drain by MBE regrowth Uttam Singisetti PhD Defense Aug 21, 2009 *
Optional Reading: Pierret 4; Hu 3
Modern VLSI Design 3e: Chapter 2 Copyright  1998, 2002 Prentice Hall PTR Topics n Derivation of transistor characteristics.
ECE 342 Electronic Circuits 2. MOS Transistors
EE213 VLSI Design S Daniels Channel Current = Rate of Flow of Charge I ds = Q/τ sd Derive transit time τ sd τ sd = channel length (L) / carrier velocity.
280 GHz f T InP DHBT with 1.2  m 2 base-emitter junction area in MBE Regrown-Emitter Technology Yun Wei*, Dennis W. Scott, Yingda Dong, Arthur C. Gossard,
Silicon – On - Insulator (SOI). SOI is a very attractive technology for large volume integrated circuit production and is particularly good for low –
100+ GHz Transistor Electronics: Present and Projected Capabilities , fax 2010 IEEE International Topical.
Szu-Wei Huang, C-V Lab, GIEE of NTU 1 黃 思 維 F Graduate Institute of Electronics Engineering National Taiwan University Advanced Multi-Gate Technologies.
EXAMPLE 6.1 OBJECTIVE Fp = 0.288 V
Limitations of Digital Computation William Trapanese Richard Wong.
Prospects for High-Aspect-Ratio FinFETs in Low-Power Logic Mark Rodwell, Doron Elias University of California, Santa Barbara 3rd Berkeley Symposium on.
2010 IEEE Device Research Conference, June 21-23, Notre Dame, Indiana
Comparison of Ultra-Thin InAs and InGaAs Quantum Wells and
University of California Santa Barbara Yingda Dong Molecular Beam Epitaxy of Low Resistance Polycrystalline P-Type GaSb Y. Dong, D. Scott, Y. Wei, A.C.
CSCE 613: Fundamentals of VLSI Chip Design Instructor: Jason D. Bakos.
University of California Santa Barbara Yingda Dong Characterization of Contact Resistivity on InAs/GaSb Interface Y. Dong, D. Scott, A.C. Gossard and M.J.
Junction Capacitances The n + regions forms a number of planar pn-junctions with the surrounding p-type substrate numbered 1-5 on the diagram. Planar junctions.
ECE442: Digital ElectronicsCSUN, Spring-2010-Zahid MOS Transistor ECE442: Digital Electronics.
Lecture 23 OUTLINE The MOSFET (cont’d) Drain-induced effects Source/drain structure CMOS technology Reading: Pierret 19.1,19.2; Hu 6.10, 7.3 Optional Reading:
III-V/Ge Channel Engineering for Future CMOS
III-V MOSFETs: Scaling Laws, Scaling Limits,Fabrication Processes A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
12 nm-Gate-Length Ultrathin-Body InGaAs/InAs MOSFETs with 8
Frequency Limits of InP-based Integrated Circuits , fax Collaborators (III-V MOS) A. Gossard, S. Stemmer,
Technology Development for InGaAs/InP-channel MOSFETs
Ultrathin InAs-Channel MOSFETs on Si Substrates Cheng-Ying Huang 1, Xinyu Bao 2, Zhiyuan Ye 2, Sanghoon Lee 1, Hanwei Chiang 1, Haoran Li 1, Varistha Chobpattana.
HO #3: ELEN Review MOS TransistorsPage 1S. Saha Long Channel MOS Transistors The theory developed for MOS capacitor (HO #2) can be directly extended.
CMOS VLSI Design CMOS Transistor Theory
Introduction to CMOS VLSI Design Lecture 4: CMOS Transistor Theory David Harris Harvey Mudd College Spring 2007.
4H-SIC DMOSFET AND SILICON CARBIDE ACCUMULATION-MODE LATERALLY DIFFUSED MOSFET Archana N- 09MQ /10/2010 PSG COLLEGE OF TECHNOLOGY ME – Power Electronics.
MOS Transistor Other handouts Project Description Other “assignments”
The MOS Transistor Polysilicon Aluminum. The NMOS Transistor Cross Section n areas have been doped with donor ions (arsenic) of concentration N D - electrons.
Improved Regrowth of Self-Aligned Ohmic Contacts for III-V FETs North American Molecular Beam Epitaxy Conference (NAMBE), Mark A. Wistey Now at.
MOSFET Current Voltage Characteristics Consider the cross-sectional view of an n-channel MOSFET operating in linear mode (picture below) We assume the.
THz Bipolar Transistor Circuits: Technical Feasibility, Technology Development, Integrated Circuit Results ,
III-V MOS: Planar and Fin Technologies 2014 MRS Spring Meeting, April 23, San Francisco. M.J.W. Rodwell, UCSB III-V MOS: S. Lee, C.-Y. Huang, D. Elias,
Process Technologies For Sub-100-nm InP HBTs & InGaAs MOSFETs M. A. Wistey*, U. Singisetti, G. J. Burek, B. J. Thibeault, A. Baraskar, E. Lobisser, V.
Indium Phosphide and Related Materials
Introduction to CMOS VLSI Design CMOS Transistor Theory
Uttam Singisetti. , Mark A. Wistey, Greg J. Burek, Ashish K
ISCS 2008 InGaAs MOSFET with self-aligned Source/Drain by MBE regrowth Uttam Singisetti*, Mark A. Wistey, Greg J. Burek, Erdem Arkun, Ashish K. Baraskar,
The MOS capacitor. (a) Physical structure of an n+-Si/SiO2/p-Si MOS capacitor, and (b) cross section (c) The energy band diagram under charge neutrality.
A Self-Aligned Epitaxial Regrowth Process for Sub-100-nm III-V FETs A. D. Carter, G. J. Burek, M. A. Wistey*, B. J. Thibeault, A. Baraskar, U. Singisetti,
CMOS VLSI Design 4th Ed. EEL 6167: VLSI Design Wujie Wen, Assistant Professor Department of ECE Lecture 3A: CMOs Transistor Theory Slides adapted from.
Contact Resistance Modeling and Analysis of HEMT Devices S. H. Park, H
Lower Limits To Specific Contact Resistivity
Device Research Conference, June 19, 2012
Contact Resistance Modeling in HEMT Devices
High Transconductance Surface Channel In0. 53Ga0
6.3.3 Short Channel Effects When the channel length is small (less than 1m), high field effect must be considered. For Si, a better approximation of field-dependent.
Other FET’s and Optoelectronic Devices
Nanowire Gate-All-Around (GAA) FETs
Optional Reading: Pierret 4; Hu 3
Record Extrinsic Transconductance (2. 45 mS/μm at VDS = 0
Beyond Si MOSFETs Part 1.
Presentation transcript:

III-V CMOS: Device Design & Process Flows , fax ESSDERC Workshop on Germanium and III-V MOS Technology, Sept. 19, 2008 M. Rodwell University of California, Santa Barbara C. Palmstrøm, E. Arkun, P. Simmonds University of Minnesota P. McIntyre, J. Harris, Stanford University M. V. Fischetti, C. Sachs University of Massachusetts Amherst M. Wistey, U. Singisetti, G. Burek, A. Gossard, S. Stemmer, R. Engel-Herbert, Y. Hwang, Y. Zheng, C. Van de Walle University of California Santa Barbara P. Asbeck, Y. Taur, A. Kummel, B. Yu, D. Wang, Y. Yuan, University of California San Diego SRC Nonclassical CMOS Research Center

Why Develop III-V MOSFETs ? If FETs cannot be further scaled, instead increase electron velocity: InGaAs→ lower m*→ higher velocity I d / W g = qn s v I d / Q transit = v / L g Difficulties: High-K dielectrics III-V growth on Si building MOSFETs low m* constrains vertical scaling, reduces drive current ( need > 1000 cm 2 /V-s mobility)

We Must Increase Drive Current To Reduce Delay Suppose we reduce L g but keep T ox fixed ? C gs is reduced, but I d /  V remains constant. Problem: Fixed C parasitic ~  r  o ; about 1 fF/  m. We have not reduced C parasitic  V / I d Instead, proportionally reduce both L g and T ox : → I d /  V increases, C gs remains constant → C gs  V / I d & C parasitic  V / I d are both reduced But drive current is limited by: source contact resistance and access resistance minimum gate dielectric thickness (tunneling) minimum channel thickness (Eigenstate Energy) low density of states in channel Must increase drive current (per unit FET periphery) (Fin- & Multi-Channel FETs improve both g m / G ds and C gs / C parasitic )

Low Effective Mass Impairs Scaling, Limits Current Approaches L-valley if well is thinner than 3-5 nm. → Hard to scale below 22 nm L g. Well energy (n is the # of band minima) Low mass impairs vertical scaling: Low mass limits transconductance: c dos comparable to 1 nm EOT oxide. Low mass limits charge, hence current E f reaches E L at ~ / cm 2 charge density ( 5 nm well) where

Drive Current in the Ballistic & Degenerate Limits Inclusive of non-parabolic band effects, which increase c dos, InGaAs & InP have near-optimum mass for nm EOT gate dielectrics eot includes the electron wavefunction depth

Device Design Target ( 22 nm L g ) Device > 5 mA/  m at 700 mV overdrive /cm 2 carrier concentration < 10 nA/  m when off Channel : 1000 cm 2 /V-s at 5 nm thickness, /cm 2 Dielectric: EOT < 1 nm, 0.6 nm preferable D it < 5*10 11 / cm 2 / eV S/D access resistance: 2*10 13 /cm 2 carrier density, < 5 nm thick

Design: MOSFET with Source / Drain Regrowth no gate barrier under S/D contacts high-K gate barrier Overlap between gate and N+ source/drain SourceDrain Gate HEMTs: high S/D resistance, low gate barrier K Shinohara Implanted III-V MOSFETs: implants too deep, too lightly doped

Design: Densities, Resistivities, Thicknesses

Process Flow with MBE Source/Drain Regrowth Wistey et al 2008 MBE conference

Gate Stack: Multiple Layers & Selective Etches Key: stop etch before reaching dielectric, then gentle low-power etch to stop on dielectric Wistey et al 2008 MBE conference

Sidewalls must be narrow and low leakage Electrostatics: Need sidewalls 5x10 18 /cm 3 (Asbeck/Taur) Problem: Thick sidewalls require large lateral recess, makes regrowth more difficult. Thin sidewalls can have high leakage current Wistey et al 2008 MBE conference

recessed S/D Recess Etch & Regrowth: Inter-Relationships InGaAs/InP composite channel permits selective InGaAs wet-etch, stopping on InP regrowth initiated on InP (desirable ?) Raised S/D process: easier regrowth, needs thinner (5 nm) sidewall raised S/D

Contact & Regrowth Interface Resistance Singisetti, Wistey

TEM of Regrowth: InGaAs on InGaAs InGaAs n+ HRTEM Interface HAADF-STEM` 2 nm Interface InGaAs n+ regrowth Wistey et al 2008 MBE conference

15 S/D Regrowth by Migration-Enhanced Epitaxy MBE growth is line-of-sight → gaps in regrowth near gate edges MEE provides surface migration during regrowth→ eliminates gaps Original Interface InGaAs Regrowth SiO 2 dummy gate SEM: Greg Burek No gaps Smooth surfaces. SEM: Uttam Singisetti InGaAs Regrowth SEM Cross Section SiO 2 dummy gate SEM Side View (Oblique) Top of gate High Si activation (4x10 19 cm -3 ). Quasi-selective: no growth on sidewalls Wistey et al 2008 MBE conference Side of gate

Images of Completed Device Wistey et al 2008 MBE conference

Results & Status Extremely low drive current due to gaps between regrowth and gate SEM shows ~ 200nm gap on source & drain. Gap region is depleted of carriers because of surface states We will soon build new devices with M.E.E. S/D regrowth Singisetti et al, 2008 ISCS

Other Processes We Are Trying S/D MBE regrowth process is hard: developing a true 22 nm process on a 200 k$/year budget but to prove drive current capability we need a true 22 nm device Two other processes being developed (reduce risk): gate-last process→ S/D by MBE; regrowth not required other processes with ultra shallow N+ S/D regions

InGaAs/InP Channel MOSFETs for VLSI Low-m* materials are beneficial only if EOT cannot scale below ~1/2 nm Devices cannot scale much below 22 nm Lg→ limits IC density Little CV/I benefit in gate lengths below 22 nm Lg Gate dielectrics, III-V growth on Si: also under intensive development Need device structure with very low access resistance radical re-work of device structure & process flow

backup

Scaling: Constant Aspect Ratio for Constant g m /G ds Keep L g / T ox constant as we reduce L g

Rough Projections From Simple Ballistic Theory ChannelEOTdrive currentintrinsic (700 mV overdrive) gate capacitance InGaAs1 nm6 mA/  m 0.2 fF/  m InGaAs1/2 nm8.5 mA/  m 0.25 fF/  m Si1 nm mA/  m 0.7 fF/  m Si1/2 nm5-7 mA/  m 1.4 fF/  m 22 nm gate length InGaAs has much less gate capacitance 1 nm EOT → InGaAs gives much more drive current 1/2 nm EOT → InGaAs & Si have similar drive current InGaAs channel→ no benefit for sub-22-nm gate lengths fF/  m parasitic capacitances

We Must Increase Transconductance To Reduce Delay Suppose we reduce L g but keep T ox fixed ? C gs is reduced, but g m remains constant. Problem: Fixed C parasitic ~  r  o ; about 1 fF/  m. We have not reduced C parasitic / g m Instead, proportionally reduce both L g and T ox : → g m increases, C gs remains constant → C gs / g m & C parasitic / g m are both reduced But Transconductance is limited by: source contact resistance and access resistance difficulties in reducing gate dielectric thickness difficulties in reducing channel thickness (Eigenstate Energy) low density of states in channel Must increase transconductance