M.Mohajjel. Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog.

Slides:



Advertisements
Similar presentations
VHDL Design of Multifunctional RISC Processor on FPGA
Advertisements

Digital System Design Subject Name : Digital System Design Course Code : IT-314.
FPGA (Field Programmable Gate Array)
Sistemas Digitais I LESI - 2º ano Lesson 1 - Introduction U NIVERSIDADE DO M INHO E SCOLA DE E NGENHARIA Prof. João Miguel Fernandes
ENEL111 Digital Electronics
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
1 Pertemuan 9 Verilog HDL Matakuliah: H0362/Very Large Scale Integrated Circuits Tahun: 2005 Versi: versi/01.
Introduction to Digital Electronics. Suplementary Reading Digital Design by - John F. Wakerly – - you will find some solutions at this site.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
CMPT150, Ch 3, Tariq Nuruddin, Fall 06, SFU 1 Ch3. Combinatorial Logic Design Modern digital design involves a number of techniques and tools essential.
Introductory Comments Regarding Hardware Description Languages.
Hardware Description Languages Drawing of circuit schematics is not practical for circuits containing more than few tens of gates. We need a way to just.
EECC341 - Shaaban #1 Lec # 1 Winter Introduction to Digital Systems Analog devices and systems process time-varying signals that can take.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Combinational Logic Design Sections 3-1, 3-2 Mano/Kime.
Behavioral Design Outline –Design Specification –Behavioral Design –Behavioral Specification –Hardware Description Languages –Behavioral Simulation –Behavioral.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Digital Design: Chapters Chapter 1. Introduction Digital Design - Logic Design? Analog versus Digital Once-analog now goes digital –Still pictures.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
Digital System Design Verilog ® HDL Maziar Goudarzi.
Digital System Design Course Introduction Maziar Goudarzi.
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
O VERVIEW OF DIGITAL SYSTEMS Hardware Description Language 1.
COE 405 Introduction to Digital Design Methodology
VLSI Tarik Booker. VLSI? VLSI – Very Large Scale Integration Refers to the many fields of electrical and computer engineering that deal with the analysis.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
GOOD MORNING.
Ch 1. Introduction Analog Signal Continuous voltage Unwanted noise
April 15, Synthesis of Signal Processing on FPGA Hongtao
Electronic Design Automation. Course Outline 1.Digital circuit design flow 2.Verilog Hardware Description Language 3.Logic Synthesis –Multilevel logic.
Introduction to Digital Design
Boolean Algebra and Logic Gates CE 40 B 18 June 2003.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
Copyright 2012 Joanne DeGroat, ECE, OSU 1 ECE 5462 HDL Design and Verification.
Studio Session 1: Introduction to VHDL and related Tools EE19D – 25/01/2005.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
COE 405 Design and Modeling of Digital Systems
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
ECE 2110: Introduction to Digital Systems Introduction (Contd.)
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
Copyright 2009 Joanne DeGroat, ECE, OSU 1 ECE 762 Theory and Design of Digital Computers, II (A real course title: Design and Specification of Digital.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
Tutorial 3 VLSI Design Methodology Boonchuay Supmonchai June 10th, 2006.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
An Introduction to Digital System Design
ECE 3110: Introduction to Digital Systems Introduction (Contd.)
Chapter 0 ComBinaTionaL loGic deSign ComBinaTionaL loGic deSign EKT 221 / 4 DIGITAL ELECTRONICS II.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
An Overview CS341 Digital Logic and Computer Organization F2003.
ECE 2110: Introduction to Digital Systems Introduction (Contd.)
1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Introduction to ASICs ASIC - Application Specific Integrated Circuit
Combinational Logic Design
Digital System Design An Introduction to Verilog® HDL
VLSI Tarik Booker.
VLSI Testing Lecture 5: Logic Simulation
Week 5, Verilog & Full Adder
CS341 Digital Logic and Computer Organization F2003
HDL Hardware Description Language
VHDL Introduction.
HIGH LEVEL SYNTHESIS.
Combinational Circuits
數位IC設計 Pei-Yin Chen, 陳培殷.
Combinational Circuits
1.Introduction to Advanced Digital Design (14 marks)
Presentation transcript:

M.Mohajjel

Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog A/D & D/A overhead 2Digital System Design

Evolution of Digital Systems The earliest digital circuits vacuum tubes & transistors Integrated circuits SSI (Small Scale Integration) 10s of logic gates MSI (Medium Scale Integration) 100s of logic gates LSI (Large Scale Integration) 1000s of logic gates VLSI (Very Large Scale Integration) 100,000s of logic gates Need for Computer-Aided Design 3Digital System Design

Digital System Design Process Initial design idea Behavioral description (overall functionality) Flow chart Pseudo code RTL description Data path Control procedure 4Digital System Design

Digital System Design Process (cont.) Logic design Net list of gates & flip flops Circuit & Physical design Net list of transistors Manufacturing Masks for IC Fabrication Bit stream 5Digital System Design

Digital System Design Process (cont.) Synthesis Verification Computer aided design (CAD) tools Synthesis From RTL description down to manufacturing Verification Simulation Timing analysis Test generation 6Digital System Design

Programmable Logic Devices Why? TTM (Time-to-market) Prototyping Reconfigurable and Custom Computing 7Digital System Design

Hardware Description Languages (HDL) Why? Very large scale designs Weakness of conventional programming language in hardware modeling and description Compact description of hardware in different design stages 8Digital System Design

Hardware Description Languages (HDL) (cont.) Hardware Description Requirements Concurrency Timing and Delay Support for Design Hierarchy Readability (Documentation) 9Digital System Design

Hardware Description Languages (HDL) (cont.) Examples Popular HDLs (IEEE standard) Verilog = Verifying Logic VHDL = Very High Speed Integrated Circuit Hardware Description Language Other HDLs AHPL TI-HDL AHDL 10Digital System Design