July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.

Slides:



Advertisements
Similar presentations
Basic HDL Coding Techniques
Advertisements

Xilinx 6.3 Tutorial Integrated Software Environment (ISE) Set up basic environment Select Gates or Modules to Be simulated (Insert Program Code) Run Waveform.
April 30, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Internal Logic Analyzer Final presentation-part B
June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
1 Homework Reading –Review previous material on “interrupts” Machine Projects –MP4 Due today –Starting on MP5 (Due at start of Class 28) Labs –Continue.
Alice EMCAL Meeting, July 2nd EMCAL global trigger status: STU design progress Olivier BOURRION LPSC, Grenoble.
Configurable System-on-Chip: Xilinx EDK
ECE Department: University of Massachusetts, Amherst Lab 1: Introduction to NIOS II Hardware Development.
October 8, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
1 Mid-term Presentation Implementation of generic interface To electronic components via USB2 Connection Supervisor Daniel Alkalay System architectures.
Paolo Musico on behalf of KM3NeT collaboration The Central Logic Board for the KM3NeT detector: design and production Abstract The KM3NeT deep sea neutrino.
ICS – Software Engineering Group 1 The SNS General Time Timestamp Driver Sheng Peng & David Thompson.
Marseille 30 January 2013 David Calvo IFIC (CSIC – Universidad de Valencia) CLB: Current status and development on CLBv2 in Valencia.
SLAAC Hardware Status Brian Schott Provo, UT September 1999.
Prototype Test of SPring-8 FADC Module Da-Shung Su Wen-Chen Chang 02/07/2002.
Tuesday September Cambridge1 GDCC “next replacement of the LDA” Franck GASTALDI.
ATLAS SCT/Pixel TIM FDR/PRR 28 July 2004 Firmware - Matt Warren1 Physics & Astronomy HEP Electronics Matthew Warren John Lane, Martin Postranecky TIM Firmware.
February 26, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
August 06, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
ATtiny23131 A SEMINAR ON AVR MICROCONTROLLER ATtiny2313.
May 29, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology Shore station brainstorm 1.
December 04, 2013KM3NeT, CLBv2 Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
FPGA firmware of DC5 FEE. Outline List of issue Data loss issue Command error issue (DCM to FEM) Command lost issue (PC with USB connection to GANDALF)
January 28, 2015CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Part A Final Dor Obstbaum Kami Elbaz Advisor: Moshe Porian August 2012 FPGA S ETTING U SING F LASH.
August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
July, IFIC (CSIC – Universidad de Valencia) CLB: MULTIBOOT 1.
Time Management.  Time management is concerned with OS facilities and services which measure real time.  These services include:  Keeping track of.
January 28-30, 2014KM3NeT, Electronics Workshop A‘dam Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
11 th April 2003L1 DCT Upgrade FDR – TSF SessionMarc Kelly University Of Bristol On behalf of the TSF team Firmware and Testing on the TSF Upgrade Marc.
NIKHEF 2014 David Calvo IFIC (CSIC – Universidad de Valencia) Time to Digital Converters for KM3NeT Data Readout System.
December 10, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
SL-PGA firmware overview M. Sozzi Pisa - January 30/31, 2014.
1.No mention is made about the ePLL. Figure 1 does not indicate which clocks are used by the various blocks. How does the VMM capture block guarantee that.
Beam Secondary Shower Acquisition System: Igloo2 GBT Starting with LATOP version Student Meeting Jose Luis Sirvent PhD. Student 16/06/
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 14, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
29 Oct, 2014 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
Microcontrollers JULES CALELLA. Microcontrollers vs Microprocessors  Microprocessors – do not contain RAM, ROM, I/O  Microcontrollers – The whole package.
November 2014, Groningen/Dwingeloo, the Netherlands 3rd International VLBI Technology Workshop Peter Jansweijer Nikhef Amsterdam Electronics- Technology.
LM32 DEVELOPMENTS ONGOING WORK ON TDCs AND OTHER ISSUES (LM32) Diego Real David Calvo CLB group online meeting, 27 March
WINLAB Open Cognitive Radio Platform Architecture v1.0 WINLAB – Rutgers University Date : July 27th 2009 Authors : Prasanthi Maddala,
1G eth UDP IP stack SIMPLIFIED IMPLEMENTATION FROM THE FIX.QRL STABLES (CONTRIBUTOR – PETER FALL) V2.0.
TFT-LCD Display + Camera
Genova May 2013 Diego Real – David Calvo IFIC (CSIC – Universidad de Valencia) CLBv2 1.
I 2 C FOR SENSORS IN THE DOM Nestor Institute Koutsoumpos Vasileios - Nestor Institute 1.
March 27, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology November 21, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
3 Dec, 2013 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
Trigger Gigabit Serial Data Transfer Walter Miller Professor David Doughty CNU October 4, 2007.
May 8, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 19, 2012KM3NeT, CLB/DAQ Videocon KM3NeT CLBv2 1.
July 31, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
April 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
P. Jansweijer Nikhef Amsterdam Electronics- Technology Amsterdam July 5-6, 2010KM3NeT: General WPF/L meeting 1 Measuring time offset over a bidirectional.
White Rabbit and KM3NeT Peter Jansweijer, on behalf of KM3NeT
Diego Real, IFIC Spain, KM3NeT Electronics Coordinator
WR & KM3NeT Peter Jansweijer
CLB: Current status and development
KM3NeT CLBv2.
KM3NeT CLBv2.
KM3NeT CLBv2.
Kostas Manolopoulos Tasos Belias
KM3NeT CLBv2.
MULTIBOOT AND SPI FLASH MEMORY
Getting Started with Programmable Logic
USB-Microcontroller C540U Family
Presentation transcript:

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Visual Status 2 Rx_mac2buf I2C Fifo 31 TDCs TDC 0 Management & Control Data Control Wishbone bus RxPacket Buffer 64KB IP/UDP Packet Buffer Stream Selector (IPMUX) Rx_buf2data RxPort 1 RxPort 2 RxPort_m Management & Config. Tx_pkt2mac Tx_data2buf TxPort 1 TxPort 2 TxPort_m Flags Rx Stream Select TxPacket Buffer 32KB Flags Tx Stream Select 31 PMTs UTC time & Clock (PPS, 125 MHz) Pause Frame ADC Management & Control Hydrophone Fifo TDC 30 Fifo Nano Beacon GPIO Debug LEDs I2C Debug RS232 Temp Compass Tilt Point to Point interconnection Xilinx Kintex-7 Start Time Slice UTC & Offset counter since Time Slice Start MEM S 2 nd CPU LM32 M M WB Crossbar (1x7) WB Crossbar (3x2) S M S M M S S M M M SS S UART S M M S S M M State Machine SPI S M Flash

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Done: ◦ LM32 + WB-Crossbar + DPRAM + UART ◦ Soft-PLL FMC layout ◦ WR without PCI-express Currently: ◦ Deterministic PHY => First shot White Rabbit in KC705 ◦ Soft PLL (hardware + software). First goal: lock onto a 125 MHz xtal and phase shift under control of LM32 via UART To do (in order of priority): ◦ Endpoint (= MAC) <= Complex! <= under investigation ◦ Mini-nic <= Complex! ◦ Fabric redirector <= probably less complex ◦ PPS generator <= relatively straightforward ◦ 1-wire, SysCon <= easy? Status Listing 3

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology “The” LM32 system that everybody should use so there is one common viewpoint No TestDesign anymore => In SVN => CLBv2/trunk ◦ 2_Design/lm32_2nd LM32_2 nd support for 16 wishbone slaves Added a “Date Revision ID register” wishbone device. ◦ Very handy to automatically track the firmware version that is in use. ◦ Generics “g_date_id” and “g_revision_id” are filled automatically by the (precision) synthesis script. ◦ When using ISE and XST someone needs to sort out how to pass generics in a scripted way. LM32_2nd 4

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Self Describing Bus ◦ Added descriptors “c_tdc_sdb” and “c_aes_tdc” readable at offset 0x1000 LM32_2nd 5 constant c_secbar_layout : t_sdb_record_array(15 downto 0) := (0 => f_sdb_embed_device(c_wrc_periph1_sdb, x" "), -- UART 1 => f_sdb_embed_device(c_tdc_sdb, x" "), -- TDCs 2 => f_sdb_embed_device(c_aes_sdb, x" "), -- AES 3 => f_sdb_embed_device(c_wrc_periph4_sdb, x" "), -- GPIO 4 => f_sdb_embed_device(c_wrc_periph5_sdb, x" "), -- SPI 5 => f_sdb_embed_device(c_wrc_periph6_sdb, x" "), -- I2C1 6 => f_sdb_embed_device(c_wrc_periph6_sdb, x" "), -- I2C2 7 => f_sdb_embed_device(c_wrc_periph7_sdb, x" "), -- Timer 8 => f_sdb_embed_device(c_wrc_periph8_sdb, x" "), -- DATE_REVISION_ID 9 => f_sdb_embed_device(c_wrc_periph3_sdb, x" "), 10 => f_sdb_embed_device(c_wrc_periph3_sdb, x"00000A00"), 11 => f_sdb_embed_device(c_wrc_periph3_sdb, x"00000B00"), 12 => f_sdb_embed_device(c_wrc_periph3_sdb, x"00000C00"), 13 => f_sdb_embed_device(c_wrc_periph3_sdb, x"00000D00"), 14 => f_sdb_embed_device(c_wrc_periph3_sdb, x"00000E00"), 15 => f_sdb_embed_device(c_wrc_periph3_sdb, x"00000F00") ); constant c_secbar_sdb_address : t_wishbone_address := x" ";

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Each owner of (sub)modules manages the top level module and a package describing the in and ouput types. For example, Genova manages: ◦ xwb_aes.vhd <= the top level AES module ◦ aes_pkg.vhd <= IO description LM32_2 nd TDC and AES 6 package aes_pkg is constant c_aes_word_size : integer := 64; type t_aes_in is record audio_in : std_logic; fifo_rd : std_logic; end record t_aes_in; type t_aes_out is record fifo_dout : std_logic_vector(c_aes_word_size-1 downto 0); fifo_full : std_logic; fifo_empty : std_logic; end record t_aes_out; end package aes_pkg; Doing so avoids changing entity “lm32_2 nd ”each time a sub module needs a small change…

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology In the current LM32_2 nd design for testing on the KC705 (…lm32_2nd\syn\syn_top\fpga.bit) there are “empty” placeholders for “xwb_aes” and “xwb_tdc”, because: 1.The TDC design should be moved in SVN to CLBv2/trunk in order to be able to link it relative when checking out trunk. 2.Both TDC and AES xwb modules should be slightly modified to incorporate the in- and output types ( t_aes_in, t_aes_out, t_tdc_in, t_tdc_out). (I already did this for AES but I need to commit the change to SVN) Valencia and Genova should add their design files to the scripts: LM32_2 nd TDC and AES 7

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology LM32_2 nd TDC and AES 8 # TDC # ### NOTE ### Valencia should place it's TDC files here (there is an empty placeholder for the time being) add_input_file -format VHDL -work work../../modules/tdc_pkg.vhd add_input_file -format VHDL -work work../../modules/xwb_tdc_empty.vhd # AES # ### NOTE ### Genova should place it's AES files here (there is an empty placeholder for the time being) add_input_file -format VHDL -work work../../modules/aes_pkg.vhd add_input_file -format VHDL -work work../../modules/xwb_aes_empty.vhd Precision => fpga-syn.tcl: Or XST => do_input_file_list.cmd: rem TDC rem ### NOTE ### Valencia should place it's TDC files here (there is an empty placeholder for the time vhdl work "..\..\..\modules\tdc_pkg.vhd" >> ^ >> vhdl work "..\..\..\modules\xwb_tdc_empty.vhd" >> ^ >> %XISEFile% rem AES rem ### NOTE ### Genova should place it's AES files here (there is an empty placeholder for the time vhdl work "..\..\..\modules\aes_pkg.vhd" >> ^ >> vhdl work "..\..\..\modules\xwb_aes_empty.vhd" >> ^ >> %XISEFile%

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology CLBv2/trunk/fw/CLBv2_Design/lm32_2 nd /to p contains: 1.A testbench “system.vhd” for simulation 2.“fpga.vhd” top design (basis for../syn/syn_top/fpga.bit) that is loadable on the KC705 where lm32_2 nd is running on 62.5 MHz. 3.THE top module “lm32_2 nd ” Why 62.5 MHz? ◦ Probably fast enough ◦ Lower power ◦ Easier to place&route LM32_2nd 9

July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology Kintex PHY RXCDRLOCK_OUT is lost (at least in simulation) when data (i.e. non-idle) is received. ◦ Xilinx web case IRQ behaved different from SPEC reference design: ◦ Solved but not (yet) understood. Generic “g_divide_input_by_2” for “xwr_softpll_ng” in “wr_core.vhd” was not updated properly since the clock went from 125 to 62.5 MHz TX/RX packets (still) not active ◦ send ARP in simulation and inspect the endpoint details White Rabbit status 10