4. Combinational Logic Networks Layout Design Methods 4. 2

Slides:



Advertisements
Similar presentations
Digital Integrated Circuits© Prentice Hall 1995 Combinational Logic COMBINATIONAL LOGIC.
Advertisements

COMBINATIONAL LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
FPGA-Based System Design: Chapter 2 Copyright 2003 Prentice Hall PTR Gate Design n Static complementary logic gate structures. n Switch logic. n Other.
ECE C03 Lecture 71 Lecture 7 Delays and Timing in Multilevel Logic Synthesis Hai Zhou ECE 303 Advanced Digital Design Spring 2002.
ALU Organization Michael Vong Louis Young Rongli Zhu Dan.
Ch.7 Layout Design Standard Cell Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI Circuit Design Lecture 11 - Combinational.
Designing Combinational Logic Circuits: Part2 Alternative Logic Forms:
Modern VLSI Design 2e: Chapter 4 Copyright  1998 Prentice Hall PTR Topics n Switch networks. n Combinational testing.
Modern VLSI Design 2e: Chapter4 Copyright  1998 Prentice Hall PTR.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 21: April 15, 2009 Routing 1.
Lecture #24 Gates to circuits
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 19: April 9, 2008 Routing 1.
Lecture #25 Timing issues
Topics Combinational logic functions.
Computer ArchitectureFall 2008 © August 20 th, Introduction to Computer Architecture Lecture 2 – Digital Logic Design.
Modern VLSI Design 2e: Chapter 4 Copyright  1998 Prentice Hall PTR Topics n Crosstalk. n Power optimization.
Digital Integrated Circuits© Prentice Hall 1995 Combinational Logic COMBINATIONAL LOGIC.
1 Delay Estimation Most digital designs have multiple data paths some of which are not critical. The critical path is defined as the path the offers the.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
Review: CMOS Inverter: Dynamic
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Standard cell-based layout. n Channel routing. n Simulation.
Global Routing.
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Switch networks. n Combinational testing.
Chapter 07 Electronic Analysis of CMOS Logic Gates
Modern VLSI Design 3e: Chapter 5,6 Copyright  2002 Prentice Hall PTR Adapted by Yunsi Fei Topics n Sequential machine (§5.2, §5.3) n FSM construction.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Layouts for logic networks. n Channel routing. n Simulation.
Modern VLSI Design 3e: Chapters 1-3 week12-1 Lecture 30 Scale and Yield Mar. 24, 2003.
FPGA-Based System Design: Chapter 2 Copyright  2003 Prentice Hall PTR Topics n Combinational logic functions. n Static complementary logic gate structures.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Combinational network delay. n Logic optimization.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
Modern VLSI Design 3e: Chapter 10 Copyright  1998, 2002 Prentice Hall PTR Topics n CAD systems. n Simulation. n Placement and routing. n Layout analysis.
Designing Combinational Logic Circuits
Linear Delay Model In general the propagation delay of a gate can be written as: d = f + p –p is the delay due to intrinsic capacitance. –f is the effort.
Advanced VLSI Design Unit 04: Combinational and Sequential Circuits.
Topics Combinational network delay.
Computer Architecture Lecture 3 Combinational Circuits Ralph Grishman September 2015 NYU.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Layouts for logic networks. n Channel routing. n Simulation.
CALTECH CS137 Winter DeHon CS137: Electronic Design Automation Day 13: February 20, 2002 Routing 1.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 13: March 3, 2015 Routing 1.
Detailed Routing مرتضي صاحب الزماني.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 33: November 20, 2013 Crosstalk.
Fault modeling is the translation of physical defects into a mathematical construct that can be operated upon algorithmically and.
Technology Mapping. 2 Technology mapping is the phase of logic synthesis when gates are selected from a technology library to implement the circuit. Technology.
Static CMOS Logic Seating chart updates
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Combinational network delay. n Logic optimization.
TOPIC : Introduction to Faults UNIT 2: Modeling and Simulation Module 1 : Logical faults due to physical faults.
Timing Behavior of Gates
EE415 VLSI Design. Read 4.1, 4.2 COMBINATIONAL LOGIC.
Dynamic Logic.
EE141 Combinational Circuits 1 Chapter 6 (I) Designing Combinational Logic Circuits Dynamic CMOS LogicDynamic CMOS Logic V1.0 5/4/2003.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
©2010 Cengage Learning SLIDES FOR CHAPTER 8 COMBINATIONAL CIRCUIT DESIGN AND SIMULATION USING GATES Click the mouse to move to the next page. Use the ESC.
Cell Design Standard Cells Datapath Cells General purpose logic
CALTECH CS137 Fall DeHon 1 CS137: Electronic Design Automation Day 21: November 28, 2005 Routing 1.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 30: November 21, 2012 Crosstalk.
Copyright © 2004 The McGraw-Hill Companies, Inc. All rights reserved.
Digital Integrated Circuits for Communication
SIDDAGANGA INSTITUTE OF TECHNOLOGY
Day 33: November 19, 2014 Crosstalk
ESE535: Electronic Design Automation
ELEC 5270/6270 Spring 2015 Low-Power Design of Electronic Circuits Pseudo-nMOS, Dynamic CMOS and Domino CMOS Logic Vishwani D. Agrawal James J. Danaher.
COMBINATIONAL LOGIC.
Overview Last Lecture Conversion of two-level logic to NAND or NOR forms Multilevel logic AOI and OAI gates Today Timing and hazards Multiplexers and demultiplexers.
Optimal Non-Manhattan Bubble Sort Channel Router
ELEC 7770 Advanced VLSI Design Spring 2016 Technology Mapping
Topics Switch networks. Combinational testing..
COMBINATIONAL LOGIC - 2.
Chapter 6 (I) CMOS Layout of Complexe Gate
Presentation transcript:

4. Combinational Logic Networks. 4. 2 Layout Design Methods 4. 2 4. Combinational Logic Networks. 4.2 Layout Design Methods 4.2.1 Single Row Layout Design Power rails Routing chnnel n-type, p-type row Intra-row Routing area

Structure of a routing channel Horizontal tracks and vertical tracks Channel Density, changed with pin assignment.(below)

Layout of a full adder Swap the gates within each function Swap the XOR pair with NAND networks

Left-edge channel routing Optimum under assumption that only one horizontal wire segment per net. Channel that cannot be routed by the left edge algorithm (Vertical constraint) A dogleg wire

4.2.2 Standard Cell Layout Design Cell from library NAND, NOR, AOI, OAI The same pitch (height) VDD and VSS lines must match up. External connection points are on the top and bottom edges. Cell area cannot be used for wiring. Feedthrough area for short cut of critical pathes. Transistor sizes are typically much larger than those in custom layouts.

Wireability of placement Rat’s nest plot to identify congested area, whose degree of congestion will be minimized.

Gate Simulation (Logic Simulation) Circuit Simulation Timing Simulation Switch Simulation Gate Simulation (Logic Simulation) Propagate new value until it will be stable a 1 b c d o a 1 b c d o

4.4 Combinational Network Delay 4.4.1 Fanout Transistors of driving gate can be enlarged. Logic can be redesigned to reduce the gate’s fanout.

4.4.2 Path Delay Timing Analysis identifies critical path with graph model, instead of exhausted search with logic simulator.

Critical Path Cutset of critical path: increase transistor size reduce wire capacitance

False Path True Path determines timing constraint.

Redesign for speed up a(b+c(d+ef)) ab+acd+acef

4.4.3 Transistor Sizing 0.5nsec delay/stage All p: 1.5/0.5 1 1 1 1 1 1 All n: 0.75/0.5 0.5nsec delay/stage

Transistor Sizing (Continue) Pull up: 1.5/0.5  4.5/0.5 (first stage) 3.0/0.5(other stages) 1 1 1 Pull down: 0.75/0.5  1.5/0.5 0.25nsec delay/stage 2 times as faster as before 0.75/0.5  1.5/0.5

Transistor Sizing (Continue) Pull up: 1.5/0.5  4.5/0.5 (first stage) 3.0/0.5(other stages) 1 1 1 Pull down: 0.75/0.5  1.5/0.5 0.125nsec delay/stage 4 times as faster as before 0.75/0.5  3/0.5

4.5 Crosstalk Crosstalk depend on Adjacent area Behavior of 2 signals

Crosstalk (continue) Ground wires to minimize crosstalk

Crosstalk (continue) Total coupling=17 Total coupling=12 Crosstalk minimization by wire routing Total coupling=17 Total coupling=12 (a-b=6, b-c=6, c-d=5) (a-b=5, a-d=2, d-c=5)

4.6 Power Optimization by reducing glitches Glitches propagate through the successive stage.  the longer chain produces much glitch.

Power Optimization (continue) Signal probability Ps: the probability that signal s is 1. Probability of a transition Ptr,s: the probablity that signal changes from 0 to 1 or from 1 to 0. Ptr,s=(1-Ps)Ps+Ps(1-Ps)=2Ps(1-Ps) Power estimation tools based on delay independent assumption PNOT=1-Pin POR=1-(1-Pin1)(1-Pin2) PAND=Pin1 Pin2

Logic factorization for low power

Switch network with non-constant source inputs. 4.7 Switch Logic Networks Switch Logic is not universally useful. Slow introduce hard-to-trace electrical problems lack of drive current for high capacitive load Switch network with non-constant source inputs. Swicth implementation of a multiplexer

Switch Logic Networks (continue) 10 10 10 Output remains 11 (Output should be undefined) Voltages are dependent on capacitance ratios, which cannot be controlled. Table shows possible voltage change of nodes. 10 time i Cia a Cab b Cbc c Cco 1 2 0.5 3 0.75 4 5 0.375

Switch Logic Networks (continue) Charge Sharing Voltages are dependent on capacitance ratios, which cannot be controlled. Table shows possible voltage change of nodes. time i Cia a Cab b Cbc c Cco 1 2 0.5 3 0.75 4 5 0.375

fault model (stuck-at-0/1) 4.8.1 Gate Testing fault model (stuck-at-0/1) NAND NOR a b Fault-free S-a-0 S-a-1 1 a b Fault-free S-a-0 S-a-1 1

Gate Testing (Continue) 1 Vector(011) for 2 NANDs stuck-at-0 test 1 1 1 - 1 Vector(11-)(-01) for 2 NANDs stuck-at-1 test 1 1 -

Stuck-Open fault t1 stuck-open fault makes gate not to pull up to VDD. t2 stuck-open fault makes gate pull down to VSS, conditionally. Delay fault Gate delay fault Path delay fault

4.8.2 Combinational Network Testing Job1: either 1 must be set. Controlling the gate’s inputs by applying values to the network’s primary inputs. Observing the gate’s output by inferring its value from the values at the network’s primary outputs. Stuck-at-0 Job2: Dout 0 or 1 to be observed at primary output.

Fault Masking NOR output sa0 (“1”) cannot be set. NAND output sa0 (“1”) cannot be observed at primary output. F=[(ab)’+b] =[a+b’+b] =0 Logic is untestable, Because of rudundant.