EMT 351 DIGITAL IC DESIGN En. Rizalafande Che Ismail (Course Co-ordinator) Pn. Siti Zarina Md Naziri School of Microelectronic Engineering School of Microelectronic.

Slides:



Advertisements
Similar presentations
Digital System Design Subject Name : Digital System Design Course Code : IT-314.
Advertisements

Verilog HDL -Introduction
FPGA (Field Programmable Gate Array)
SOC Design: From System to Transistor
ENEL111 Digital Electronics
1 Pertemuan 9 Verilog HDL Matakuliah: H0362/Very Large Scale Integrated Circuits Tahun: 2005 Versi: versi/01.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
The Design Process Outline Goal Reading Design Domain Design Flow
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Design and Implementation of VLSI Systems (EN0160) Sherief Reda Division of Engineering, Brown University Spring 2007.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Combinational Logic Design Sections 3-1, 3-2 Mano/Kime.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Configurable System-on-Chip: Xilinx EDK
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
ELEN468 Lecture 11 ELEN468 Advanced Logic Design Lecture 1Introduction.
Digital System Design Verilog ® HDL Maziar Goudarzi.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
O VERVIEW OF DIGITAL SYSTEMS Hardware Description Language 1.
Hardware Description Language(HDL). Verilog simulator was first used beginning in 1985 and was extended substantially through The implementation.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Chapter 1 CSF 2009 Computer Abstractions and Technology.
Design methodology.
1 Chapter 2. The System-on-a-Chip Design Process Canonical SoC Design System design flow The Specification Problem System design.
CSET 4650 Field Programmable Logic Devices
Electronic Design Automation. Course Outline 1.Digital circuit design flow 2.Verilog Hardware Description Language 3.Logic Synthesis –Multilevel logic.
Verilog Digital System Design Z. Navabi, McGraw-Hill, 2005
1 VERILOG Fundamentals Workshop סמסטר א ' תשע " ה מרצה : משה דורון הפקולטה להנדסה Workshop Objectives: Gain basic understanding of the essential concepts.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
1 3-General Purpose Processors: Altera Nios II 2 Altera Nios II processor A 32-bit soft core processor from Altera Comes in three cores: Fast, Standard,
CAD Techniques for IP-Based and System-On-Chip Designs Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
1 Digital System Design Subject Name : Digital System Design Course Code : IT- 308 Instructor : Amit Prakash Singh Home page :
Principles Of Digital Design Chapter 1 Introduction Design Representation Levels of Abstraction Design Tasks and Design Processes CAD Tools.
CAD for Physical Design of VLSI Circuits
Dr. Alireza Ghorshi Dr. Mohammad Mortazavi Dr. Mohammad Khansari Dr. Alireza Nemany Pour.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
CMP 4202: VLSI System Design Lecturer: Geofrey Bakkabulindi
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
COE 405 Design and Modeling of Digital Systems
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
Spring 2007 W. Rhett Davis with minor editing by J. Dean Brock UNCA ECE Slide 1 ECE 406 – Design of Complex Digital Systems Lecture 1: Introduction.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
DEVICES AND DESIGN : ASIC. DEFINITION Any IC other than a general purpose IC which contains the functionality of thousands of gates is usually called.
IMPLEMENTATION OF MIPS 64 WITH VERILOG HARDWARE DESIGN LANGUAGE BY PRAMOD MENON CET520 S’03.
M.Mohajjel. Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog.
1 Copyright  2001 Pao-Ann Hsiung SW HW Module Outline l Introduction l Unified HW/SW Representations l HW/SW Partitioning Techniques l Integrated HW/SW.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
Introduction to Field Programmable Gate Arrays (FPGAs) EDL Spring 2016 Johns Hopkins University Electrical and Computer Engineering March 2, 2016.
SEPTEMBER 8, 2015 Computer Hardware 1-1. HARDWARE TERMS CPU — Central Processing Unit RAM — Random-Access Memory  “random-access” means the CPU can read.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Digital System Design An Introduction to Verilog® HDL
Topics Modeling with hardware description languages (HDLs).
Topics Modeling with hardware description languages (HDLs).
Week 5, Verilog & Full Adder
VHDL Introduction.
HIGH LEVEL SYNTHESIS.
數位IC設計 Pei-Yin Chen, 陳培殷.
Design Methodology & HDL
Digital Designs – What does it take
CS 140L Lecture 1 Professor CK Cheng 10/2/02.
Presentation transcript:

EMT 351 DIGITAL IC DESIGN En. Rizalafande Che Ismail (Course Co-ordinator) Pn. Siti Zarina Md Naziri School of Microelectronic Engineering School of Microelectronic Engineering

PENGUMUMAN Pelajar yang telah pergi InDex pada 8-10 Mei yang lalu WAJIB pergi ke lawatan industri pada 12 July 2006 (Rabu). Maklumat lanjut sila rujuk di papan kenyataan kolej kediaman atau hubungi En. Muammar ext 8366.

OUTLINE Introduction to the subject EMT 351 Introduction to the subject EMT 351 Introduction to the VLSI system design Introduction to the VLSI system design  VLSI design methodology and CAD/EDA tools  Hardware description language (HDL)

INTRO Teaching Plan Teaching Plan

Previous Semester …

This Semester …

OUTLINE Introduction to the subject EMT 351 Introduction to the subject EMT 351 Introduction to the Digital IC design Introduction to the Digital IC design  Digital IC design methodology and CAD/EDA tools  Hardware description language (HDL)

Today’s VLSI : System-on-Chip (SoC) Bidirectional Devices System-on-Chip Input Devices Output Devices I/F microphone camera sensor keypad mouse joystick switch peripheral bus (IEEE1394, USB, RS232C, PCI, SCSI, AGP, ISA, ATA, …) storage (SRAM, DRAM, FLASH-ROM, disk drive) network (Modem, Ethernet, wireless) speaker LCD/CRT display LED light motor

SoC – A simplified (logical) view On-chip memory Interconnect network (busses, crossbar switches, wires) I/F Output Devices Bidirectional Devices Input Devices On-chip memory Register file On-chip memory Functional blocks On-chip memory Controller I/F

Algorithm-Level Behavioral Description Register-Transfer Level Structural Description Logic/Transistor Circuit Description VLSI Mask Layout Logic Synthesis Layout Synthesis ( High-Level Synthesis ) System Specification ( System-Level Synthesis ) Layout Verification Logic Verification Behavioral Verification System Verification Systematic Digital IC Design Flow

System Specification System functionality (application) Operating environment (IO interface) Cost (development, manufacture, test) Size/weight (# of chips, board area, box size) Power consumption Flexibility (specification changes, added functionality)  Human language (English, Japanese, Thai, etc.)

System Synthesis/Verification Algorithm Description System Specification  Software languages (C/C++, Java)  Hardware languages (Verilog, VHDL) Functional Simulation (SW/HW co-simulation) Data : types/widths, structures, arrays Process : expressions, control-flow, procedures, functions Communication : protocols Simulation : input stimulus, output verification manual translation  Human language

High-Level Synthesis/Verification Algorithm Description  Software languages (C/C++, Java)  Hardware languages (Verilog, VHDL) Functional Simulation RTL Structural Description  Verilog, VHDL Architecture description  Module (CPU, memory, register, functional unit, IO interface)  Bus architecture Module description (functional/structural)  Combinational/sequential circuit description manual translation (High-Level Synthesis )

Logic Synthesis/Verification Logic Verification Timing Verification Power analysis RTL Structural Description Logic/Transistor Circuit Description  Verilog, VHDL  Schematic  Netlist  Verilog, VHDL Logic Minimization Technology Mapping Cell components (gates, registers, transistors) Nets IO pins

Layout Synthesis/Verification Circuit topology verification Design rule check Timing Verification Logic / Transistor Circuit Netlist  Verilog, VHDL  Schematic  Netlist VLSI Mask Layout  Mask Pattern Cell / module layout (manual or auto) Place and Route Layers (well, diffusion, polysilicon, metals, vias) Rectangle, polygons

CAD/EDA Tools Electronic design automation (EDA) is the category of tools for designing and producing electronic systems ranging from printed circuit boards (PCBs) to integrated circuits. This is sometimes referred to as ECAD (electronic computer-aided design) or just CAD. printed circuit boardsintegrated circuitscomputer-aided design printed circuit boardsintegrated circuitscomputer-aided design

Cont..

OUTLINE Introduction to the subject EMT 351 Introduction to the subject EMT 351 Introduction to the Digital IC design Introduction to the Digital IC design  Digital IC design methodology and CAD/EDA tools  Hardware description language (HDL)

What is HDL ?  HDL – Hardware Description Language  Used to describe the logic functionality of a circuit  Can also describe the behavioral aspects of a circuit function  Sometimes used to show the netlist of a circuit

Cont..  Two types of HDL  Verilog  VHDL (VHSIC HDL – Very High Speed Integrated Circuit Hardware Description Language)  Latest type of HDL language  C/C++ code – not widely accepted  Superlog – very new. Mostly still under research

VHDL vs Verilog   Always an argument on which is a better form of HDL.   Both has its advantages and disadvantages.

Cont..   Verilog :   Easy to write.   Easy to read & understand as it is similar to C.   Easier to learn compared to VHDL   All design centres in Malaysia uses Verilog.

Cont..   VHDL :   It is more complicated & more difficult to learn compared to Verilog.   More coding rules to follow.   More flexible compared to Verilog   Can reflect real design more efficiently.

Cont..   Whichever is more suitable to be used as the standard HDL depends largely on individual designer.   Most EDA/CAD design tools in the market can handle both Verilog & VHDL

That’s all for today. See u on Friday..