Soft errors in adder circuits Rajaraman Ramanarayanan, Mary Jane Irwin, Vijaykrishnan Narayanan, Yuan Xie Penn State University Kerry Bernstein IBM.

Slides:



Advertisements
Similar presentations
Barcelona Forum on Ph.D. Research in Communications, Electronics and Signal Processing 21st October 2010 Soft Errors Hardening Techniques in Nanometer.
Advertisements

IHP Im Technologiepark Frankfurt (Oder) Germany IHP Im Technologiepark Frankfurt (Oder) Germany ©
Single Event Upsets in Digital VLSI Circuits EYES Summer Internship Program 2007 University of New Mexico Vinay Jain Dr. Payman Zarkesh-Ha Final Year Undergraduate.
MURI Neutron-Induced Multiple-Bit Upset Alan D. Tipton 1, Jonathan A. Pellish 1, Patrick R. Fleming 1, Ronald D. Schrimpf.
Sp09 CMPEN 411 L16 S.1 CMPEN 411 VLSI Digital Circuits Spring 2009 Lecture 16: Introduction to Soft Errors [Adapted from Rabaey’s Digital Integrated Circuits,
Single Event Upsets (SEUs) – Soft Errors By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M University, College.
April 30, Cost efficient soft-error protection for ASICs Tuvia Liran; Ramon Chips Ltd.
Microprocessor Reliability
A Robust, Fast Pulsed Flip- Flop Design By: Arunprasad Venkatraman Rajesh Garg Sunil Khatri Department of Electrical and Computer Engineering, Texas A.
Computer Science 210 Computer Organization Clocks and Memory Elements.
CP208 Digital Electronics Class Lecture 11 May 13, 2009.
2007 MURI Review The Effect of Voltage Fluctuations on the Single Event Transient Response of Deep Submicron Digital Circuits Matthew J. Gadlage 1,2, Ronald.
Using Hardware Vulnerability Factors to Enhance AVF Analysis Vilas Sridharan RAS Architecture and Strategy AMD, Inc. International Symposium on Computer.
® 1 Shubu Mukherjee, FACT Group Cache Scrubbing in Microprocessors: Myth or Necessity? Practical Experience Report Shubu Mukherjee Joel Emer, Tryggve Fossum,
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
Twin Logic Gates – Improved Logic Reliability by Redundancy concerning Gate Oxide Breakdown Hagen Sämrow, Claas Cornelius, Frank Sill, Andreas Tockhorn,
1 A Design Approach for Radiation-hard Digital Electronics Rajesh Garg Nikhil Jayakumar Sunil P Khatri Gwan Choi Department of Electrical and Computer.
March 16-18, 2008SSST'20081 Soft Error Rate Determination for Nanometer CMOS VLSI Circuits Fan Wang Vishwani D. Agrawal Department of Electrical and Computer.
A Delay-efficient Radiation-hard Digital Design Approach Using Code Word State Preserving (CWSP) Elements Charu Nagpal Rajesh Garg Sunil P. Khatri Department.
1 A Fast, Analytical Estimator for the SEU-induced Pulse Width in Combinational Designs By: Rajesh Garg Charu Nagpal Sunil P. Khatri Department of Electrical.
1 Design of a Parallel-Prefix Adder Architecture with Efficient Timing-Area Tradeoff Characteristic Sabyasachi Das University of Colorado, Boulder Sunil.
Embedded Systems Laboratory Informatics Institute Federal University of Rio Grande do Sul Porto Alegre – RS – Brazil SRC TechCon 2005 Portland, Oregon,
Carlos Arthur Lang Lisbôa, Erik Schüler, Luigi Carro SRC TechCon 2005 Dealing with Multiple Simultaneous Faults in Future Technologies in Future Technologies.
Digital Integrated Circuits© Prentice Hall 1995 Arithmetic Arithmetic Building Blocks.
Barcelona, Spain November 13, 2005 WAR-1: Assessing SEU Vulnerability Via Circuit-Level Timing Analysis 1 Assessing SEU Vulnerability via Circuit-Level.
1 paper I design and implementation of the aegis single-chip secure processor using physical random functions, isca’05 nuno alves 28/sep/06.
Noise and Delay Uncertainty Studies for Coupled RC Interconnects Andrew B. Kahng, Sudhakar Muddu † and Devendra Vidhani ‡ UCLA Computer Science Department,
Electronic Counters.
Motivation Yang You 1, Jinghong Chen 1, Datao Gong 2, Deping Huang 1, Tiankuan Liu 2, Jingbo Ye 2 1 Department of Electrical Engineering, Southern Methodist.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 32: November 24, 2010 Uncorrelated Noise.
1 Efficient Analytical Determination of the SEU- induced Pulse Shape Rajesh Garg Sunil P. Khatri Department of ECE Texas A&M University College Station,
Alec Stanculescu, Fintronic USA Alex Zamfirescu, ASC MAPLD 2004 September 8-10, Design Verification Method for.
1 MAPLD C192Degalahal SESEE: A Soft Error Simulation & Estimation Engine V Degalahal 1, S M Çetiner 2, F Alim 2, N Vijaykrishnan 1, K Ünlü 2, M.
Source: Lundstrom/Fossom/Yang/Neudeck, Purdue EE612 lecture notes.
Basics of Energy & Power Dissipation Lecture notes S. Yalamanchili, S. Mukhopadhyay. A. Chowdhary.
Single Event Effects in microelectronic circuits Author: Klemen Koselj Advisor: Prof. Dr. Peter Križan.
SiLab presentation on Reliable Computing Combinational Logic Soft Error Analysis and Protection Ali Ahmadi May 2008.
CSE477 L24 RAM Cores.1Irwin&Vijay, PSU, 2002 CSE477 VLSI Digital Circuits Fall 2002 Lecture 24: RAM Cores Mary Jane Irwin ( )
Low Power – High Speed MCML Circuits (II)
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
1 3D Simulation and Analysis of the Radiation Tolerance of Voltage Scaled Digital Circuits Rajesh Garg Sunil P. Khatri Department of ECE Texas A&M University.
SET Fault Tolerant Combinational Circuits Based on Majority Logic
Analytical Approach for Soft Error Rate Estimation of SRAM-Based FPGAs Ghazanfar (Hossein) Asadi and Mehdi B. Tahoori Why Soft Error Rate (SER) Estimation?
Jing Ye 1,2, Yu Hu 1, and Xiaowei Li 1 1 Key Laboratory of Computer System and Architecture Institute of Computing Technology Chinese Academy of Sciences.
Basics of Energy & Power Dissipation
Digital Integrated Circuits© Prentice Hall 1995 Arithmetic Arithmetic Building Blocks.
Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT2 will be reviewed. We will review.
Hrushikesh Chavan Younggyun Cho Structural Fault Tolerance for SOC.
UNCLASSIFIED Impact of Complex Material Systems on the Radiation Response of Advanced Semiconductors Robert A. Reed Institute for Space and Defense Electronics.
In-Place Decomposition for Robustness in FPGA Ju-Yueh Lee, Zhe Feng, and Lei He Electrical Engineering Dept., UCLA Presented by Ju-Yueh Lee Address comments.
Gill 1 MAPLD 2005/234 Analysis and Reduction Soft Delay Errors in CMOS Circuits Balkaran Gill, Chris Papachristou, and Francis Wolff Department of Electrical.
Characterizing Processors for Energy and Performance Management Harshit Goyal and Vishwani D. Agrawal Department of Electrical and Computer Engineering,
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
EE 653: Group #3 Impact of Drowsy Caches on SER Arjun Bir Singh Mohammad Abdel-Majeed Sameer G Kulkarni.
EE141 Arithmetic Circuits 1 Chapter 14 Arithmetic Circuits Rev /12/2003.
Chandrasekhar 1 MAPLD 2005/204 Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM based FPGAs Vikram Chandrasekhar, Sk. Noor Mahammad, V. Muralidharan.
MAPLD 2005/213Kakarla & Katkoori Partial Evaluation Based Redundancy for SEU Mitigation in Combinational Circuits MAPLD 2005 Sujana Kakarla Srinivas Katkoori.
Power-Optimal Pipelining in Deep Submicron Technology
Raghuraman Balasubramanian Karthikeyan Sankaralingam
SE-Aware HPC Extension : Selective Data Protection for reducing failures due to soft errors 7/20/2006 Kyoungwoo Lee.
Soft Error Analysis of FPGA under ISO Standard
LOW POWER DESIGN METHODS V.ANANDI ASST.PROF,E&C MSRIT,BANGALORE.
MAPLD 2005 Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM based FPGAs Vikram Chandrasekhar, Sk. Noor Mahammad, V. Muralidharan Dr. V. Kamakoti.
SEU Hardened Clock Regeneration Circuits
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
Fundamentals of Computer Science Part i2
Soft Error Rates with Inertial and Logical Masking
Impact of Parameter Variations on Multi-core chips
R.W. Mann and N. George ECE632 Dec. 2, 2008
Guihai Yan, Yinhe Han, and Xiaowei Li
Presentation transcript:

Soft errors in adder circuits Rajaraman Ramanarayanan, Mary Jane Irwin, Vijaykrishnan Narayanan, Yuan Xie Penn State University Kerry Bernstein IBM

Ramanarayanan 177/MAPLD ‘04 Talk Overview Introduction Soft errors  Introduction  Impact on data-path circuits  Modeling soft errors in logic circuits Experimental setup and methodology  Error injection mechanism  Adder circuits considered  Methodology Results Conclusions and Future work (Lessons learned)

Ramanarayanan 177/MAPLD ‘04 Talk Overview

Ramanarayanan 177/MAPLD ‘04 Introduction Soft errors, which are transient errors caused due to external radiations, affected mainly memory circuits. Soft error rates (SER) in data-path structures and combinational logic have been increasing due to:  Continuous device scaling.  Voltage scaling and increased speed.  increasing pipeline lengths.

Ramanarayanan 177/MAPLD ‘04 Introduction Adder circuits form an integral part of data-path. Hence, in this work, we  Analyze SER in different types of adder circuits.  Analyze the effect of voltage and frequency scaling on SER.  Experimented techniques to improve the error rates in adder circuits based on above results.

Ramanarayanan 177/MAPLD ‘04 Talk Overview

Ramanarayanan 177/MAPLD ‘04 Soft errors - Introduction Soft errors or transient errors are circuit errors caused due to excess charge carriers induced primarily by external radiations. These errors cause an upset event but the circuit it self is not damaged.

Ramanarayanan 177/MAPLD ‘04 Soft errors - Sources At ground level, there are three major contributors to Soft errors.  Alpha particles emitted by decaying radioactive impurities in packaging and interconnect materials.  Cosmic Ray induced neutrons cause errors due the charge induced due to Silicon Recoil.  Neutron induced 10 B fission which releases a Alpha particle and 7 Li.

Ramanarayanan 177/MAPLD ‘04 B S D p substrate G n+ n channel Soft Errors - The Phenomena + - A particle strike Current

Ramanarayanan 177/MAPLD ‘04 Soft Errors - The Phenomena V DD V out CLCL V in A particle strike Bit Flip !!!

Ramanarayanan 177/MAPLD ‘04 Soft errors - Impact on data-path circuits In data-path circuits, an error is caused when the pulse generated by a particle is latched on at the output by a flip-flop. Here, the critical charge (Q critical ), can be defined, as the minimum charge required to latch on to the pulse. There are three masking effects in combinational circuits that affect the propagation of any given pulse:  Logical masking  Electrical masking  Latching window masking

Ramanarayanan 177/MAPLD ‘04 REGISTERSREGISTERS I1 I2 I3 I4 I5 I6 I7 C E D B REGISTERSREGISTERS O2 O Soft error No Soft error Particle strike Effect of electrical masking Masking effects in data-path

Ramanarayanan 177/MAPLD ‘04 Modeling soft errors *Courtesy - K. Bernstein * Simulated 150 MEV Proton-induced charge collection for 90 nm and 130 nm bulk technologies; per-bit SER per unit collection

Ramanarayanan 177/MAPLD ‘04 Modeling soft error in logic circuits Massengill et al. developed a model for a tool, which would predict the probability of an error occurring in a given combinational circuit. Probability that a random particle hit (resulting in a current pulse) at a node N in a clock cycle C will be latched on by the output latch or flip-flop (P SF C,N ). Probability of soft errors occurring in a given circuit can be determined using the above probability.

Ramanarayanan 177/MAPLD ‘04 Modeling soft error in logic circuits In this work, we propose a model that can accurately models the above probability. We borrow the term “Timing Vulnerability” defined in the work by S. Mukherjee et al.  This is defined as the fraction of time in a clock cycle in which a given node in a circuit is vulnerable (t v ).  For example, a latch has a t v of 50%. Thus, P SF C,N = ∑ P Qcoll * t v, where P Qcoll is the collected charge at a given node.

Ramanarayanan 177/MAPLD ‘04 Talk Overview

Ramanarayanan 177/MAPLD ‘04 Error injection mechanism Based on the models provided by previous works,  We modeled our current pulse as an exponential wave form with a pulse width of 50 ps in our HSPICE simulations. Charge colleted at a node can be determined using the following expression:  Q = ∫ I d dt, where I d =Drain Current.

Ramanarayanan 177/MAPLD ‘04 Adder Designs Considered € € € € P0,G0P1,G1P2,G2P3,G3 S0S1S2S3 € € € P0,G0P1,G1P2,G2P3,G3 S0S1S2S3 € € (a) Brent-kung (B-K)(b) Kogge-stone (K-S) C0 C1C2C3 P3P2P1P0 C0 C1C2C3 P3P2P1P0

Ramanarayanan 177/MAPLD ‘04 Methodology Our analysis consists of:  Measuring Q critical at different nodes affecting different outputs in B-K adders.  Comparing B-K with K-S and Ripple Carry (RC) adders.  Measuring Q critical and t v after scaling voltage and frequency. Next we consider techniques to improve the above two quantities to increase the robustness of adders:  Use a Flip-Flop with better t v values. Using a Semi-dynamic Flip-Flop (SDFF) instead of a Transmission gate Flip-Flop (TGFF) used initially.  Increase threshold voltage, which increases Q critical. All circuits were custom designed and laid out in 70nm technology.

Ramanarayanan 177/MAPLD ‘04 Talk Overview

Ramanarayanan 177/MAPLD ‘04 Q critical for B-K, K-S and RC adders

Ramanarayanan 177/MAPLD ‘04 Results – B-K adders For B-K adders (at node C0):  Q critical ’s for a node to cause a flip at all the sum outputs are similar.  Q critical for all outputs flipping together is higher.

Ramanarayanan 177/MAPLD ‘04 Adder comparisons For B-K and K-S, Q critical at a node for flipping different outputs are comparable while RC has progressively increasing Q critical. Q critical is smaller in K-S adders due to shortest path carry chains. Also KS adders have greater area susceptible to soft errors due to larger number of carry cells. B-K adder has more nodes that fan’s out equally to many outputs  Hence, a single particle strike at a node can cause multi-bit errors.

Ramanarayanan 177/MAPLD ‘04 Voltage and frequency scaling

Ramanarayanan 177/MAPLD ‘04 Voltage and Frequency scaling The adders were run at 1 GHz, 0.833GHz and 0.5 GHz with 1V, 0.8 V and 0.6V as supply voltages respectively. As both voltage and frequency are scaled, Q critical reduces slightly at many nodes. Reducing frequency reduces t v, but reduction in Q critical plays a much important role.

Ramanarayanan 177/MAPLD ‘04 Optimization Techniques Using Flip-Flop with lesser set-up and hold time (SDFF)  Improves timing vulnerability.  Also improves Q critical for multi-bit errors. Increasing threshold voltage  Increases Q critical as it increases the gain of the logic circuit.  But it increases the timing vulnerability also.

Ramanarayanan 177/MAPLD ‘04 Conclusions and lessons learnt The timing vulnerability determines the occurrences of multi-bit errors in adders. Trade-offs have to be considered in using different type of adders.  K-S adders have lesser Q critical and higher soft error rate.  Multi-bit errors can be more common in B-K adders Voltage and frequency scaling worsens the soft error rate. Techniques to improve Q critical and t v were presented.  Trade-offs in choosing these techniques.

Ramanarayanan 177/MAPLD ‘04 References L. W. Massengill, A. E. Baranski, D. O. V. Nort, J. Meng, and B. L. Bhuva. Analysis of Single-Event Effects in Combinational Logic – Simulation of the AM2901 Bitslice Processor. IEEE Trans. on Nuclear Science, 47(6):2609–2615, December S. K. Reinhardt and S. Mukherjee. Transient Fault Detection via Simultaneous Multithreading. International Symposium on Computer Architecture, pages 25–36, July 2000.