Figure 1.1 The Altera UP 3 FPGA Development board

Slides:



Advertisements
Similar presentations
Electrical and Computer Engineering MIDI Note Number Display UGA Presentation and Demo ECE 353 Lab B.
Advertisements

EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
Sec 01: Logisim.
Stop Watch Sean Hicks Dongpu Jin ELEC 307 Project 2 Instructor: Alvaro Pinto April/12/2011.
Logisim.
Introduction to Verilog (Behavioral Modeling). Agenda Gate Delays and User-Defined Primitives Behavioral Modeling Design Examples Hands-on Practice.
EE296 Working with FPGA’s. (Field Programmable gate array) Team name: Altezza Team members: Richard Phomsouvanh (FPGA expert) Jason Leong (VHDL expert)
Altera’s Quartus II Installation, usage and tutorials Gopi Tummala Lab/Office Hours : Friday 2:00 PM to.
Lab 5 Multiplexer and 7-Segment Display Module M7.3.
Downloading to Altera Nios Development Kit CSCE 488 Witawas Srisa-an.
ECE Department: University of Massachusetts, Amherst Lab 1: Introduction to NIOS II Hardware Development.
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE Senior Design I Lecture 2 FPGAs & Verilog.
©2010 Cengage Learning Engineering. All Rights Reserved.10-0 Introduction to VHDL PowerPoint Presentation © Cengage Learning, Engineering. All Rights.
EET 1131 Unit 4 Programmable Logic Devices  Read Kleitz, Chapter 4.  Homework #4 and Lab #4 due next week.  Quiz next week.
Altera DE2 Board and Quartus II Software ECE 3450 M. A. Jupina, VU, 2014.
CSCE 430/830 A Tutorial of Project Tools By Dongyuan Zhan Feb. 4, 2010.
Capacitance Sensor Project
Introduction to Basys 2. Switches Slide switchesPush button switches.
ALTERA UP2 Tutorial 1: The 15 Minute Design. Figure 1.1 The Altera UP 1 CPLD development board. ALTERA UP2 Tutorial 1: The 15 Minute Design.
Introduction to FPGA Design Illustrating the FPGA design process using Quartus II design software and the Cyclone II FPGA Starter Board. Physics 536 –
Chapter 4 Programmable Logic Devices: CPLDs with VHDL Design Copyright ©2006 by Pearson Education, Inc. Upper Saddle River, New Jersey All rights.
Advanced Digital Circuits ECET 146 Week 3 Professor Iskandar Hack ET 221B,
COE4OI5 Engineering Design Chapter 2: UP2/UP3 board.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
ECE Department: University of Massachusetts, Amherst Using Altera CAD tools for NIOS Development.
K&H MFG. Co., LTD. Manufacturer, Exporter & Importer for Educational Equipment & Measuring Instrument CIC-310 CPLD/FPGA Development System.
Boolean Algebra (Continued) ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Ch.9 CPLD/FPGA Design TAIST ICTES Program VLSI Design Methodology Hiroaki Kunieda Tokyo Institute of Technology.
© 2009 Pearson Education, Upper Saddle River, NJ All Rights ReservedFloyd, Digital Fundamentals, 10 th ed Digital Fundamentals Tenth Edition Floyd.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
Comments on Lab #4 Annotating Timing Diagrams Draw viewer’s attention to the points you are trying to show / verify –Important output states glitch or.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
Advanced Digital Circuits ECET 146 Week 4 Professor Iskandar Hack ET 221G,
Processor implementation on Altera DE2 Development and Education Board
Advanced Digital Circuits ECET 146 Week 5 Professor Iskandar Hack ET 221B,
Lab 3 : Multiplier Overview.
My Second FPGA for Altera DE2-115 Board 數位電路實驗 TA: 吳柏辰 Author: Trumen.
Chapter 4 Programmable Logic Devices: CPLDs with VHDL Design Copyright ©2006 by Pearson Education, Inc. Upper Saddle River, New Jersey All rights.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Working with Xilinx Spartan 3 Embedded Systems Lab 2009.
Lecture #3 Page 1 ECE 4110–5110 Digital System Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.HW#2 assigned Due.
Lecture #2 Page 1 ECE 4110– Sequential Logic Design Lecture #2 Agenda 1.Logic Design Tools Announcements 1.n/a.
Lab 2 : Overview Combinational System.
displayCtrlr Specification
Reaction Timer Project
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Introduction to Combinational Verilog EECS270 rev 9/25/12.
 Seattle Pacific University EE Logic System DesignAlteraBoard-2 Altera Cyclone II (484 Pin BGA) 22 Pins.
COE4OI5 Engineering Design Chapter 1: The 15 minutes design.
11 EENG 1920 Introduction to VHDL. 22 Hardware Description Language A computer language used to design circuits with text-based descriptions of the circuits.
VHDL ELEC 311 Digital Logic and Circuits Dr. Ron Hayne Images Courtesy of Cengage Learning.
Teaching Digital Logic courses with Altera Technology
ECE 3450 M. A. Jupina, VU, 2016 Capacitance Sensor Project Goal: Creation of a digital capacitance sensor circuit where a variation in capacitance changes.
ASIC/FPGA design flow. Design Flow Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
Copyright © 2007 by Pearson Education 1 UNIT 6A COMBINATIONAL CIRCUIT DESIGN WITH VHDL by Gregory L. Moss Click hyperlink below to select: Tutorial for.
EET 1131 Unit 4 Programmable Logic Devices
Lab 1: Using NIOS II processor for code execution on FPGA
Figure 1.1 The Altera UP 1 CPLD development board.
A tutorial guide to start with ISE
Verilog-HDL-1 by Dr. Amin Danial Asham.
ECE 4110–5110 Digital System Design
CR 245L Digital Design I Lab Sum of Products, 7-Segment Display,
Programmable Logic Devices: CPLDs and FPGAs with VHDL Design
Embedded systems, Lab 1: notes
Digital Fundamentals Tenth Edition Floyd Chapter 11.
EET 1131 Unit 4 Programmable Logic Devices
EET 1131 Unit 4 Programmable Logic Devices
Presentation transcript:

Figure 1.1 The Altera UP 3 FPGA Development board

Figure 1.2 The Altera UP 2 FPGA development board.

Figure 1.3 Design process for schematic or HDL entry.

Figure 1.4 Connections between the pushbuttons, the LEDs, and the Altera FPGA.

(a) (b) Figure 1.5a and 1.5b. Equivalent circuits for ORing active low inputs and outputs.

Figure 1.6 Creating a new Quartus II Project.

Figure 1.7 Setting the FPGA Device Type.

Figure 1.8 Creating the top-level project schematic design file.

Figure 1.9 Selecting a new symbol with the Symbol Tool.

Figure 1.10 Active low OR-gate schematic example with I/O pins connected.

UP 3 Pin Number Connections UP 1 & UP 2 Pin Number Connections Table 1.1 Hardwired connections on the FPGA chips for the design. I/O Device UP 3 Pin Number Connections UP 1 & UP 2 Pin Number Connections PB1 62 (SW7) 28 (FLEX PB1) PB2 48 (SW4) 29 (FLEX PB2) LED 56 (D3) 14 (7Seg LED DEC. PT.)

Figure 1.11 Assigning Pins with the Assignment Editor.

Figure 1.12 Active low OR-gate timing simulation with time delays.

Figure 1.13 ALTERA UP 3 board showing Pushbutton and LED locations used in design

Figure 1.14 ALTERA UP 2 board with jumper settings and PB1, PB2, and LED locations

Table 1.2 Jumper settings for downloading to the UP2 MAX and FLEX devices.

Figure 1.15 UP 2’s FLEX FPGA pin connection to seven-segment display decimal point.

Figure 1.16 VHDL Entity declaration text.

Figure 1.17 VHDL OR-gate model (with syntax error).

Figure 1.18 VHDL compilation with a syntax error.

Figure 1.19 Verilog module declaration text.

Figure 1.20 Verilog active low OR-gate model (with syntax error).

Figure 1.21 Verilog compilation with a syntax error.

Figure 1.22 Timing analyzer showing input to output timing delays.

Figure 1.23 Floorplan view showing internal FPGA placement of OR-gate in LE and I/O pins

Figure 1.24 ORgate design symbol.

Figure 1.14 The Altera DE2 FPGA Development board

Figure 1.15 The Altera DE2 FPGA Development board