By Praveen Venkataramani Committee Prof. Vishwani D. Agrawal (Advisor) Prof. Adit D. Singh Prof. Fa Foster Dai REDUCING ATE TEST TIME BY VOLTAGE AND FREQUENCY.

Slides:



Advertisements
Similar presentations
Retiming Scan Circuit To Eliminate Timing Penalty
Advertisements

Copyright 2001, Agrawal & BushnellLecture 12: DFT and Scan1 VLSI Testing Lecture 10: DFT and Scan n Definitions n Ad-hoc methods n Scan design  Design.
Weighted Random and Transition Density Patterns for Scan-BIST Farhana Rashid* Vishwani D. Agrawal Auburn University ECE Department, Auburn, Alabama
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 261 Lecture 26 Logic BIST Architectures n Motivation n Built-in Logic Block Observer (BILBO) n Test.
1 Dictionary-Less Defect Diagnosis as Surrogate Single Stuck-At Faults Chidambaram Alagappan Vishwani D. Agrawal Department of Electrical and Computer.
Supply Voltage Noise Aware ATPG for Transition Delay Faults Nisar Ahmed and M. Tehranipoor University of Connecticut Vinay Jayaram Texas Instruments, TX.
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Copyright 2005, Agrawal & BushnellVLSI Test: Lecture 21alt1 Lecture 21alt BIST -- Built-In Self-Test (Alternative to Lectures 25, 26 and 27) n Definition.
Nitin Yogi and Vishwani D. Agrawal Auburn University Auburn, AL 36849
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Dynamic SCAN Clock control In BIST Circuits
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
Design of Variable Input Delay Gates for Low Dynamic Power Circuits
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Design for Testability Theory and Practice Lecture 11: BIST
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Embedded Systems Hardware:
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
Vishwani D. Agrawal James J. Danaher Professor
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
10/11/05ELEC / Lecture 121 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Spring 07, Feb 22 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Power Aware Microprocessors Vishwani D. Agrawal.
ELEN 468 Lecture 251 ELEN 468 Advanced Logic Design Lecture 25 Built-in Self Test.
Multivalued Logic for Reduced Pin Count and Multi-Site SoC Testing Baohu Li and Vishwani D. Agrawal Auburn University, ECE Dept., Auburn, AL 36849, USA.
Spring 07, Jan 30 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 SOC Test Scheduling Vishwani D. Agrawal James.
By Praveen Venkataramani Vishwani D. Agrawal TEST PROGRAMMING FOR POWER CONSTRAINED DEVICES 5/9/201322ND IEEE NORTH ATLANTIC TEST WORKSHOP 1.
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
Finding Optimum Clock Frequencies for Aperiodic Test Master’s Thesis Defense Sindhu Gunasekar Dept. of ECE, Auburn University Advisory Committee: Dr. Vishwani.
SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.
Jia Yao and Vishwani D. Agrawal Department of Electrical and Computer Engineering Auburn University Auburn, AL 36830, USA Dual-Threshold Design of Sub-Threshold.
Low Power Architecture and Implementation of Multicore Design Khushboo Sheth, Kyungseok Kim Fan Wang, Siddharth Dantu ELEC6270 Low Power Design of Electronic.
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
Optimal Selection of ATE Frequencies for Test Time Reduction Using Aperiodic Clock Sindhu Gunasekar Vishwani D. Agrawal.
PRAVEEN VENKATARAMANI VISHWANI D. AGRAWAL Auburn University, Dept. of ECE Auburn, AL 36849, USA 26 th International.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTEMS
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
A Robust Pulse-triggered Flip-Flop and Enhanced Scan Cell Design
EEE2243 Digital System Design Chapter 7: Advanced Design Considerations by Muhazam Mustapha, extracted from Intel Training Slides, April 2012.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
1 Compacting Test Vector Sets via Strategic Use of Implications Kundan Nepal Electrical Engineering Bucknell University Lewisburg, PA Nuno Alves, Jennifer.
VTS 2012: Zhao-Agrawal1 Net Diagnosis using Stuck-at and Transition Fault Models Lixing Zhao* Vishwani D. Agrawal Department of Electrical and Computer.
By Praveen Venkataramani
A Test Time Theorem and Its Applications Praveen Venkataraman i Suraj Sindia Vishwani D. Agrawal
Vishwani D. Agrawal Auburn University, Dept. of Elec. & Comp. Engg. Auburn, AL 36849, U.S.A. Nitin Yogi NVIDIA Corporation, Santa Clara, CA th.
Embedded Embedded at-speed test at-speed test.
VLSI Design & Embedded Systems Conference January 2015 Bengaluru, India Few Good Frequencies for Power-Constrained Test Sindhu Gunasekar and Vishwani D.
Power Problems in VLSI Circuit Testing Keynote Talk Vishwani D. Agrawal James J. Danaher Professor Electrical and Computer Engineering Auburn University,
November 25Asian Test Symposium 2008, Nov 24-27, Sapporo, Japan1 Sequential Circuit BIST Synthesis using Spectrum and Noise from ATPG Patterns Nitin Yogi.
ELEC 7950 – VLSI Design and Test Seminar
Power-aware NOC Reuse on the Testing of Core-based Systems* CSCE 932 Class Presentation by Xinwang Zhang April 26, 2007 * Erika Cota, et al., International.
July 10, th VLSI Design and Test Symposium1 BIST / Test-Decompressor Design using Combinational Test Spectrum Nitin Yogi Vishwani D. Agrawal Auburn.
Hayri Uğur UYANIK Very Large Scale Integration II - VLSI II
Very low voltage 16-bit counter in high leakage static CMOS technology
VLSI Testing Lecture 14: Built-In Self-Test
ME2500 DESIGN FOR TESTABILITY [Slide 3] DfT Structures for Delay Testing BY DREAMCATCHER
Power-Aware System-On-Chip Test Optimization
Reduced Voltage Test Can be Faster!
Timing Analysis 11/21/2018.
Design of benchmark circuit s5378 for reduced scan mode activity
Pre-Computed Asynchronous Scan Invited Talk
FPGA Glitch Power Analysis and Reduction
Testing in the Fourth Dimension
VLSI Testing Lecture 9: Delay Test
VLSI Testing Lecture 7: Delay Test
Lecture 26 Logic BIST Architectures
A Low-Power Analog Bus for On-Chip Digital Communication
A Random Access Scan Architecture to Reduce Hardware Overhead
Presentation transcript:

By Praveen Venkataramani Committee Prof. Vishwani D. Agrawal (Advisor) Prof. Adit D. Singh Prof. Fa Foster Dai REDUCING ATE TEST TIME BY VOLTAGE AND FREQUENCY SCALING April 17, 2013GENERAL ORAL EXAM 1

AGENDA Background Problem statement Prior work A test time theorem Test time reduction methods Summary Future work April 17, 2013GENERAL ORAL EXAM 2

TEST April 17, 2013GENERAL ORAL EXAM 3

BACKGROUND: METHODS OF TESTING April 17, 2013GENERAL ORAL EXAM 4 Testing can be perform using Built In Self Test (BIST) −Circuit tests itself. −Contains test pattern generator and output response analyzer. −Test per scan or Test per clock External Test – Automated Test Equipment, Bench Test. −Patterns are applied externally to the circuit under test. −Circuit response is captured and analyzed externally

Sequential devices are hard to test. Sequential devices are tested as combinational circuits by inserting scan flip flops. Scan test consists of a shift mode and a capture mode. BACKGROUND: SCAN TEST April 17, 2013GENERAL ORAL EXAM 5 Combinational logic DFF PI PO SI SO SE

BACKGROUND: SCAN TEST PROCEDURE April 17, 2013GENERAL ORAL EXAM 6 Test pattern is shifted serially, setting scan enable (SE) high, through the scan flip flops during scan shift. Circuit is configured to capture by setting SE to low for one cycle. Captured response is shifted out in the next cycle Number of scan shift cycles depends on the length of the scan chain Each flip flop may toggle during scan shift and capture.

PROBLEM STATEMENT Power consumption during test must not exceed the specified budget often implying increased test time. Long test time increases cost; test time can be very long for scan based testing. Need to reduce test time without exceeding power budget. April 17, 2013GENERAL ORAL EXAM 7

PRIOR WORK Pattern overlapping - Reduce unwanted scan operations by using similar patterns. [Chloupek’12] Reusable scan chains - Unwanted scan shifts are avoided. [Lai’93] Activity monitor in BIST circuits - Monitor the activity in the vector from LFSR to manipulate the clock period dynamically. [Shanmugasundaram’12] Employing both BIST and ATE - Use BIST for easy-to- detect faults and then the ATE to identify the hard-to- detect faults. [Hashempour’02] Simultaneous testing – Two or more cores are tested in parallel. [Zhao’03] April 17, 2013GENERAL ORAL EXAM 8

During scan shift/capture all flip flops may toggle. Increase power dissipation during test. Test time is affected by the number of patterns, the size of the scan chain and slow test clock frequency. Rated power limits the maximum test clock frequency TEST TIME April 17, 2013GENERAL ORAL EXAM 9 Combinational logic DFF PI PO SI SO SE

TEST TIME - THEOREM April 17, 2013GENERAL ORAL EXAM 10

POWER METRICS [Patrick’10] April 17, 2013GENERAL ORAL EXAM 11 Energy: Energy is estimated as the total switching activity generated during test application. Power: Defined for a clock cycle is the energy dissipated divided by the clock period. Average Power: It is the average of power over the entire test. Maximum Power: It is the maximum power dissipated in any clock cycle during the entire test.

OBSERVATIONS Dynamic energy is not consumed evenly throughout the entire test. Reducing the voltage reduces power. Power dissipated is dependent on the clock period. April 17, 2013GENERAL ORAL EXAM 12

TEST TIME REDUCTION To reduce test time we can 1.Scale the supply voltage, increase the frequency to maintain the power dissipation. 2.Dissipate the energy at varying rate to maintain the same power dissipation. 3.Implement scaled supply voltage and varying rate. Clock period is constrained 1.Structure: The period of the clock must not be shorter than the delay of the critical path. 2.Power: The period of the clock must not let the power dissipation exceed the design specification. April 17, 2013GENERAL ORAL EXAM 13

SCALING SUPPLY VOLTAGE Conventional method to perform test uses synchronous clock, i.e., uses fixed clock period Test produces more signal transitions than functional operation, thus dissipate more power than the circuit is designed for. The rated power determines the test clock period. Effects of reducing voltage 1.Test power reduces 2.Critical path slows down April 17, 2013GENERAL ORAL EXAM 14

SCALING SUPPLY VOLTAGE April 17, 2013GENERAL ORAL EXAM 15

SCALING SUPPLY VOLTAGE - RESULTS April 17, 2013GENERAL ORAL EXAM 16 Circuit (180nm CMOS) PMAX per Cycle (mW) 1.8V Test Freq. (MHz) Test Voltage (volts) Test Clock Freq. (MHz) Test Time Reduction (%) s s s s s s s s

VARYING CLOCK PERIOD April 17, 2013GENERAL ORAL EXAM 17 In a synchronous test each period depends on the maximum power dissipated. Each period may not dissipate same amount of power. Periods can be varied based on the power dissipated. This is achieved by asynchronous test.

VARYING CLOCK PERIOD April 17, 2013GENERAL ORAL EXAM 18

ASYNCHRONOUS CLOCK – S298 EXAMPLE April 17, 2013GENERAL ORAL EXAM 19

ASYNCHRONOUS TEST ON ATE April 17, 2013GENERAL ORAL EXAM 20 Experimental Setup The test was implemented on the Advantest T2000GS ATE at Auburn University. Maximum clock speed of 250 MHz CUT is an FPGA configured for ISCAS‘89 benchmark circuit. FPGA is configured on the run using the ATE. All clock periods for asynchronous test are determined prior to external test based on the amount of energy dissipated during each cycle. Limitations in tester framework sets few margins to the clock periods and the granularity in their variations Only 4 unique clock periods can be provided for each test flow

SELECTING ASYNCHRONOUS PERIODS The clock periods were grouped into 4 sets. Each set contains patterns of one clock period. For synchronous test the maximum period is used as the fixed clock period. The figure shows the cycle periods determined for each test cycle. Test cycle will use the clock (dotted line) just above the period April 17, 2013GENERAL ORAL EXAM 21

ATE TEST PROGRAM April 17, 2013GENERAL ORAL EXAM 22 Test plan is programmed using the native Open Test Programming Language (OTPL). Four unique periods and the corresponding information about the signal behavior at each pin is provided in a timing file. For each period, the input waveform of the clock is set to have a 50% duty cycle. The output is probed at the end of each period. Within each period there is a time gap to apply primary inputs (PI) and the clock edge to avoid race condition. Period for each cycle is specified along with patterns. Scan patterns are supplied sequentially bit by bit.

ATE FUNCTIONAL TEST USING SYNCHRONOUS CLOCK Figure shows the waveforms for 33 cycles of the 540 cycles in total test. The synchronous clock used is 500ns The time frame to accommodate 33 cycles using synchronous clock is 16.5µs Total test time for 540 cycles = 540 x.5 µs = 270 µs April 17, GENERAL ORAL EXAM

ATE FUNCTIONAL TEST USING ASYNCHRONOUS CLOCK April 17, GENERAL ORAL EXAM

SCALING SUPPLY VOLTAGE April 17, 2013GENERAL ORAL EXAM 25

SCALING SUPPLY VOLTAGE – S298 April 17, 2013GENERAL ORAL EXAM 26

SUMMARY April 17, 2013GENERAL ORAL EXAM 27 Synchronous test time is reduced by Scaling supply voltage down Scaling cycle frequency upward Asynchronous test produces lower test time at any voltage as long as there are some test cycles that are power constrained. According to the test time theorem, asynchronous test time is always less than or equal to the synchronous test time.

FUTURE WORK April 17, 2013GENERAL ORAL EXAM 28 Consider the effect of supply voltage scaling on leakage power. Study test time reduction for high leakage technologies. Examine delay testing.

CONFERENCE SUBMISSIONS April 17, 2013GENERAL ORAL EXAM 29 V. D. Agrawal, “Pre-Computed Asynchronous Scan,” Invited Talk, LATW, April P. Venkataramani and V. D. Agrawal, “Test Time Reduction in ATE Using Asynchronous Clocking,” Poster, DFM&Y Workshop, June V. D. Agrawal, “Reduced Voltage Test Can be Faster,” Elevator Talk, ITC, Nov P. Venkataramani and V. D. Agrawal, “Reducing ATE Time for Power Constrained Scan Test by Asynchronous Clocking,” Poster, ITC, Nov P. Venkataramani and V. D. Agrawal, “Reducing Test Time of Power Constrained Test by Optimal Selection of Supply Voltage,” Proc. 26th International Conf. VLSI Design, Jan P. Venkataramani, S. Sindia and V. D. Agrawal, “Test Time Theorem and Applications,” Proc. LATW, Apr P. Venkataramani, S. Sindia and V. D. Agrawal, “Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time,” Proc. VTS, Apr P. Venkataramani and V. D. Agrawal, “Test Programming for Power Constrained Devices,” Proc. NATW, May P. Venkataramani and V. D. Agrawal, “ATE Test Time Reduction Using Asynchronous Clocking,” submitted to ITC, Sep 2013.

REFERENCES April 17, 2013GENERAL ORAL EXAM 30 [Chloupek’12] M. Chloupek, O. Novak, and J. Jenicek, “On Test Time Reduction Using Pattern Overlapping, Broadcasting and On-Chip Decompression,” in Proc. IEEE 15th International Symp. on Design and Diagnostics of Electronic Circuits Systems (DDECS), Apr. 2012, pp. 300–305. [Hashempour’02] H. Hashempour, F. J. Meyer, and F. Lombardi, “Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE,” in Proc. 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2002, pp. 186– 194. [Lai’93] W.-J. Lai, C.-P. Kung, and C.-S. Lin, “Test Time Reduction in Scan Designed Circuits,” in Proc. 4th European Conference on Design Automation, Feb. 1993, pp. 489–493. [Patrick’10] P. Girard, N. Nicolici, and X. Wen“ Power Aware Testing and Test Strategies for Low Power Devices” Springer Publications 2010, New York, ISBN [Shanmugasundaram’12] P. Shanmugasundaram and V. D. Agrawal, “Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock,” in Proc. 25th International Conf. VLSI Design, Jan. 2012, pp. 448–453. [Zhao’03] D. Zhao.; S. Upadhyaya., "Power Constrained Test Scheduling with Dynamically Varied TAM," VLSI Test Symposium, Proceedings. 21st, vol., no., pp.273,278, 27 April- 1 May 2003

April 17, 2013GENERAL ORAL EXAM 31 THANK YOU