Hsiang-Chieh Liao 01/15/04 FFAST: An FPGA Fault Simulation Tool for Stuck-at and Path-Delay Faults Laboratory for Reliable Computing (LaRC) Electrical.

Slides:



Advertisements
Similar presentations
Survey of Detection, Diagnosis, and Fault Tolerance Methods in FPGAs
Advertisements

Field Programmable Gate Array
A Graph-Partitioning-Based Approach for Multi-Layer Constrained Via Minimization Yih-Chih Chou and Youn-Long Lin Department of Computer Science, Tsing.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
Cross-layer Optimized Placement and Routing for FPGA Soft Error Mitigation Keheng Huang 1,2, Yu Hu 1, and Xiaowei Li 1 1 Key Laboratory of Computer System.
B UILT -I N S ELF -T EST OF G LOBAL R OUTING R ESOURCES IN V IRTEX -4 FPGA S Jia Yao, Bobby Dixon, Charles Stroud and Victor Nelson Dept. of Electrical.
The New FPGA Architecture by Applying The CS-Box Structure Zhou Lin, Catherine October 13, 2003.
ENGIN112 L38: Programmable Logic December 5, 2003 ENGIN 112 Intro to Electrical and Computer Engineering Lecture 38 Programmable Logic.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
A Timing-Driven Soft-Macro Resynthesis Method in Interaction with Chip Floorplanning Hsiao-Pin Su 1 2 Allen C.-H. Wu 1 Youn-Long Lin 1 1 Department of.
Power Modeling and Architecture Evaluation for FPGA with Novel Circuits for Vdd Programmability Yan Lin, Fei Li and Lei He EE Department, UCLA
An Efficient Chiplevel Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction Yan Lin 1, Yu Hu 1, Lei He 1 and Vijay Raghunathan 2 1 EE Department,
Build-In Self-Test of FPGA Interconnect Delay Faults Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
Evaluation of Redundancy Analysis Algorithms for Repairable Embedded Memories by Simulation Laboratory for Reliable Computing (LaRC) Electrical Engineering.
Introduction to Field Programmable Gate Arrays (FPGAs) COE 203 Digital Logic Laboratory Dr. Aiman El-Maleh College of Computer Sciences and Engineering.
Laboratory for Reliable Computing (LaRC) Department of Electrical Engineering National Tsing Hua University Hsinchu, Taiwan Flash Memory Built-In.
The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays Steven J.
Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Yan Lin and Lei He EE Department, UCLA Partially supported.
March 6, th Southeastern Symposium on System Theory1 Transition Delay Fault Testing of Microprocessors by Spectral Method Nitin Yogi and Vishwani.
HARP: Hard-Wired Routing Pattern FPGAs Cristinel Ababei , Satish Sivaswamy ,Gang Wang , Kia Bazargan , Ryan Kastner , Eli Bozorgzadeh   ECE Dept.
Trace-Based Framework for Concurrent Development of Process and FPGA Architecture Considering Process Variation and Reliability 1 Lerong Cheng, 1 Yan Lin,
Techniques and Algorithms for Fault Grading of FPGA Interconnect Test Configurations Mehdi Baradaran Tahoori and Subhasish Mitra IEEE Transactions on Computer-Aided.
CS 151 Digital Systems Design Lecture 38 Programmable Logic.
Statistical Critical Path Selection for Timing Validation Kai Yang, Kwang-Ting Cheng, and Li-C Wang Department of Electrical and Computer Engineering University.
Introduction to FPGA’s FPGA (Field Programmable Gate Array) –ASIC chips provide the highest performance, but can only perform the function they were designed.
Dynamic Power Consumption In Large FPGAs WILLIAM GARCIA, ANDREW MORTELLARO.
Dr. Konstantinos Tatas ACOE201 – Computer Architecture I – Laboratory Exercises Background and Introduction.
L i a b l eh kC o m p u t i n gL a b o r a t o r y On Effective TSV Repair for 3D- Stacked ICs Li Jiang †, Qiang Xu † and Bill Eklow § † CUhk REliable.
Lecture 2: Field Programmable Gate Arrays September 13, 2004 ECE 697F Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays.
CPIS 357 Software Quality & Testing
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
FPGA Switch Block Design Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
Un/DoPack: Re-Clustering of Large System-on-Chip Designs with Interconnect Variation for Low-Cost FPGAs Marvin Tom* Xilinx Inc.
Channel Width Reduction Techniques for System-on-Chip Circuits in Field-Programmable Gate Arrays Marvin Tom University of British Columbia Department of.
CPLD (Complex Programmable Logic Device)
HDL-Based Layout Synthesis Methodologies Allen C.-H. Wu Department of Computer Science Tsing Hua University Hsinchu, Taiwan, R.O.C {
Leo Greiner IPHC meeting HFT PIXEL DAQ Prototype Testing.
J. Christiansen, CERN - EP/MIC
Galen SasakiEE 260 University of Hawaii1 Electronic Design Automation (EDA) EE 260 University of Hawaii.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR FPGA Fabric n Elements of an FPGA fabric –Logic element –Placement –Wiring –I/O.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
THE TESTING APPROACH FOR FPGA LOGIC CELLS E. Bareiša, V. Jusas, K. Motiejūnas, R. Šeinauskas Kaunas University of Technology LITHUANIA EWDTW'04.
A Routing Approach to Reduce Glitches in Low Power FPGAs Quang Dinh, Deming Chen, Martin D. F. Wong Department of Electrical and Computer Engineering University.
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
Design Space Exploration for Application Specific FPGAs in System-on-a-Chip Designs Mark Hammerquist, Roman Lysecky Department of Electrical and Computer.
1 Compacting Test Vector Sets via Strategic Use of Implications Kundan Nepal Electrical Engineering Bucknell University Lewisburg, PA Nuno Alves, Jennifer.
VTS 2012: Zhao-Agrawal1 Net Diagnosis using Stuck-at and Transition Fault Models Lixing Zhao* Vishwani D. Agrawal Department of Electrical and Computer.
CprE / ComS 583 Reconfigurable Computing Prof. Joseph Zambreno Department of Electrical and Computer Engineering Iowa State University Lecture #4 – FPGA.
1 A Min-Cost Flow Based Detailed Router for FPGAs Seokjin Lee *, Yongseok Cheon *, D. F. Wong + * The University of Texas at Austin + University of Illinois.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
Section 1  Quickly identify faulty components  Design new, efficient testing methodologies to offset the complexity of FPGA testing as compared to.
ATS Exploiting Free LUT Entries to Mitigate Soft Errors in SRAM- based FPGAs Keheng Huang, Yu Hu, Xiaowei Li Institute of Computing Technology Chinese.
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
1 Synthesizing Datapath Circuits for FPGAs With Emphasis on Area Minimization Andy Ye, David Lewis, Jonathan Rose Department of Electrical and Computer.
1 Carnegie Mellon University Center for Silicon System Implementation An Architectural Exploration of Via Patterned Gate Arrays Chetan Patel, Anthony Cozzie,
Maze Routing Algorithms with Exact Matching Constraints for Analog and Mixed Signal Designs M. M. Ozdal and R. F. Hentschke Intel Corporation ICCAD 2012.
TOPIC : Introduction to Fault Simulation
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
1 Advanced Digital Design Reconfigurable Logic by A. Steininger and M. Delvai Vienna University of Technology.
Deterministic Diagnostic Pattern Generation (DDPG) for Compound Defects Fei Wang 1,2, Yu Hu 1, Huawei Li 1, Xiaowei Li 1, Jing Ye 1,2 1 Key Laboratory.
© PSU Variation Aware Placement in FPGAs Suresh Srinivasan and Vijaykrishnan Narayanan Pennsylvania State University, University Park.
Mixed PLB and Interconnect BIST for FPGAs Without Fault-Free Assumptions Vishal Suthar and Shantanu Dutt Electrical and Computer Engineering University.
Robust Low Power VLSI R obust L ow P ower VLSI Using Module Compiler to build FPGA Structures Seyi Ayorinde ECE 6505.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
A Survey of Fault Tolerant Methodologies for FPGA’s Gökhan Kabukcu
Hayri Uğur UYANIK Very Large Scale Integration II - VLSI II
Fault-Tolerant Architecture Design for Flow-Based Biochips
Crosstalk Noise in FPGAs
A New Hybrid FPGA with Nanoscale Clusters and CMOS Routing Reza M. P
Presentation transcript:

Hsiang-Chieh Liao 01/15/04 FFAST: An FPGA Fault Simulation Tool for Stuck-at and Path-Delay Faults Laboratory for Reliable Computing (LaRC) Electrical Engineering Department National Tsing Hua University Advisor: Jing-Jia Liou & Cheng-Wen Wu Student: Hsiang-Chieh Liao 2004

Hsiang-Chieh Liao 01/15/04 Outline Introduction FPGA Test FPGA Architecture Switch Matrix Models Input Files Test Configuration Fault Coverages –Stuck-at Fault –Delay Fault Simulation Flow –SAF –PDF Defect Injection Experimental Result Conclusion

Hsiang-Chieh Liao 01/15/04 Introduction FPGA is popular for design verification and prototyping We test unprogrammed FPGA Architecture and size complexity is increasing We present a fault simulator for FPGA test development and test evaluation

Hsiang-Chieh Liao 01/15/04 FPGA Test Programmed FPGAUnprogrammed FPGA CLBInterconnect (Need Test Pattern)(Need Fault Model & TCs)

Hsiang-Chieh Liao 01/15/04 FPGA Architecture A generic FPGA architecture CLB (1,2) CLB (2,2) IO (1,0) CLB (2,1) CLB (1,1) IO (2,0) IO (3,1) IO (3,2) IO (2,3) IO (1,3) IO (0,2) IO (0,1) Switch Matrix Switch Matrix Switch Matrix Switch Matrix Switch Matrix Switch Matrix Switch Matrix Switch Matrix Switch Matrix

Hsiang-Chieh Liao 01/15/04 Different Switch Boxes (a) Subset XC4000-series (b) Universal C. Wong (96’) (c) Wilton S. Wilton (97’)(d) Twist M. Sadowska (DAC03’)

Hsiang-Chieh Liao 01/15/04 My Switch Matrix Module Switch Matrix Compound CIP

Hsiang-Chieh Liao 01/15/04 Input Files Architecture file –FPGA array size, ex: 14x14 –Channel width –The number and the location of CLB pins –Routing constraints of CLB pins –The resource of Switch box Configuration file Defect file Spec file

Hsiang-Chieh Liao 01/15/04 Test Configuration ####FPGA Configuration Path OPIN (0,2) Pad:0 CHANX (0,1) Track:1 SWITCH (0,1) (0,1) HORIZONTAL SWITCH (0,1) (1,1) HORIZONTAL SWITCH (0,1) (2,1) HORIZONTAL CHANX (1,1) Track:1 IPIN (1,2) Pin:0 CLB (1,2) IO (1,0) CLB (1,1) IO (1,3) IO (0,1) Switch Matrix Switch Matrix Switch Matrix Switch Matrix Switch Matrix Switch Matrix G0 (0,2)

Hsiang-Chieh Liao 01/15/04 Fault Coverages The stuck-at fault : Interconnect The delay fault : Path delay fault, for BIST A. Lai (ITC02’)

Hsiang-Chieh Liao 01/15/04 FPGA Fault Simulaton Flow - SAF Start Read Inputs Arch. File Config. File Test pattern Construct FPGA P&R Another config ? Yes Stuck-at Fault Coverage Calculation DONE No Flag Covered Segment Feature Coverage

Hsiang-Chieh Liao 01/15/04 FPGA Fault Simulaton Flow - PDF Start Read Inputs Arch. File Config. File Defect File Spec. File sampleCNT Test pattern Construct FPGA P&R Inject Defect Another config ? Yes Delay Fault Coverage Calculation DONE Another Sample ? Yes No Any defect detected ? Yes No Delay Fault Coverage

Hsiang-Chieh Liao 01/15/04 Delay Fault Coverage Calculation Initialize K=1 K == N? Defect K Flagged ? Yes No Yes No K++ Search for a faulty path for each defect segments DONE N : defect count K : defect index Start A faulty path exist ? Faulty FPGAs ++ Yes No Detected FPGAs ++

Hsiang-Chieh Liao 01/15/04 Defect Injection Defect count –Mixed Poisson (C.H. Stapper 80’) –Discrete Exponential (H. Masuda 99’) Defect Location –Random, Poisson Defect Size –Poisson (C.H. Stapper 83’)

Hsiang-Chieh Liao 01/15/04 Different Defect Sizes Sample Count: 1K Each Segment Spec: 3.5 ns Defect Count (mean): 1.3 Slack over Spec: 10% (.35ns) FPGA Size: 14x14 Defect Size(ns) C-Delay Fault Coverage % % % % % % % % % Index ♪ C-Delay Fault Coverage : Conditional Delay Fault Coverage

Hsiang-Chieh Liao 01/15/04 Different FPGA Sizes FPGA Size 2x2 5x5 10x10 14x14 20x20 25x25 30x30 35x35 40x40 Delay Fault Coverage 89.95% 89.07% 89.09% 90.40% 87.11% 89.35% 89.88% 87.40% 87.44% Feature Coverage 45.56% 70.88% 83.64% 87.89% 91.29% 92.94% 94.06% 94.88% 95.50% Sample Count: 1K Each Segment Spec: 3.5 ns Defect Count (mean): 1.3 Slack over Spec: 10% (.35ns) Defect Size (mean): 1.75ns Eff-Feature Coverage 100% C-Delay Fault Coverage 91.49% 100% 99.44% 99.71% 98.91% 100% ♪ C-Delay Fault Coverage : Conditional Delay Fault Coverage

Hsiang-Chieh Liao 01/15/04 The Improvement of TCs CLB (1,2) CLB (1,1) Switch Matrix Switch Matrix Switch Matrix Switch Matrix Faulty Segment TCs Faulty Path Reported by FFAST, path slack(0.6) defect size(0.4) path slack(0.6) defect size(0.3) Faulty Segment IO (1,0) pad1 IO (1,0) pad0 IO (0,1) pad0 IO (0,1) pad1 IO (0,2) pad0 IO (0,2) pad1

Hsiang-Chieh Liao 01/15/04 Conclusion We have presented an FPGA fault simulator, called FFAST It eases the task of evaulating the testing methods With FFAST, we can improve the TCs to increase the FC much easier than ever We would like to support more FPGA architectures and more fault models in the future