Chemical Mechanical Planarization of TEOS SiO2 for Shallow Trench Isolation Processes on an IPEC/Westech 372 Wafer Polisher Michael Aquilino Microelectronic.

Slides:



Advertisements
Similar presentations
BEOL Al & Cu.
Advertisements

Process Flow : Overhead and Cross Section Views ( Diagrams courtesy of Mr. Bryant Colwill ) Grey=Si, Blue=Silicon Dioxide, Red=Photoresist, Purple= Phosphorus.
ECE 6466 “IC Engineering” Dr. Wanda Wosik
Process Flow Steps Steps –Choose a substrate  Add epitaxial layers if needed –Form n and p regions –Deposit contacts and local interconnects –Deposit.
Simplified Example of a LOCOS Fabrication Process
For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN © 2002.
Dummy Feature Placement for Chemical- mechanical Polishing Uniformity in a Shallow Trench Isolation Process Ruiqi Tian 1,2, Xiaoping Tang 1, D. F. Wong.
Tutorial 3 Derek Wright Wednesday, February 2 nd, 2005.
Chapter 2 Modern CMOS technology
Section 3: Etching Jaeger Chapter 2 Reader.
ECE/ChE 4752: Microelectronics Processing Laboratory
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
1 Microelectronics Processing Course - J. Salzman - Jan Microelectronics Processing Oxidation.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
School of Microelectronic Engineering EMT362: Microelectronic Fabrication CMOS ISOLATION TECHNOLOGY Part 2 Ramzan Mat Ayub School of Microelectronic Engineering.
Process integration
7/14/ Design for Manufacturability Prof. Shiyan Hu Office: EERC 731.
The Deposition Process
ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING
CMOS Process Integration ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May March 25, 2004.
Rochester Institute of Technology - MicroE © REP/LFF 8/17/2015 Metal Gate PMOS Process EMCR201 PMOS page-1  10 Micrometer Design Rules  4 Design Layers.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #7. Etching  Introduction  Etching  Wet Etching  Dry Etching  Plasma Etching  Wet vs. Dry Etching  Physical.
ES 176/276 – Section # 2 – 09/19/2011 Brief Overview from Section #1 MEMS = MicroElectroMechanical Systems Micron-scale devices which transduce an environmental.
Fabrication of Active Matrix (STEM) Detectors
CMP C M P HEMICAL ECHANICAL LANARIZATION.
Manufacturing Process
Comparison of various TSV technology
1 Chemical Engineering Tools for Semiconductor Fabrication David Cohen, PhD AIChE Norcal Symposium April 12, 2005.
Metallization: Contact to devices, interconnections between devices and to external Signal (V or I) intensity and speed (frequency response, delay)
1. A clean single crystal silicon (Si) wafer which is doped n-type (ColumnV elements of the periodic table). MOS devices are typically fabricated on a,
Development of Slurries for Polishing SiLK TM -Integrated Wafers Dr. David Merricks IITC 2003 Acknowledgements to Bob Her, David Tysiac, Sheldon Mao, Lynn.
IC Process Integration
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
 Chemical-Mechanical Polishing (CMP)  Rotating pad polishes each layer on wafers to achieve planarized surfaces  Uneven features cause polishing pad.
Introduction to Prototyping Using PolyMUMPs
Top Down Manufacturing
MIT Lincoln Laboratory NU Status-1 JAB 11/20/2015 Advanced Photodiode Development 7 April, 2000 James A. Burns ll.mit.edu.
Top Down Method Etch Processes
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
IC Processing. Initial Steps: Forming an active region Si 3 N 4 is etched away using an F-plasma: Si3dN4 + 12F → 3SiF 4 + 2N 2 Or removed in hot.
IC Fabrication/Process
ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
Chemical Techniques and Developments Mechanical Planarization.
Etching: Wet and Dry Physical or Chemical.
ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING
Etch Process Input and Output Parameters Process Modeling how to use input parameters to achieve desired output parameters Process Model Quality parameter1.
Fab - Step 1 Take SOI Wafer Top view Side view Si substrate SiO2 – 2 um Si confidential.
Acoustic and Thermal Methods in Detecting Endpoint during Chemical Mechanical Polishing of Metal Overlay for Nanoscale Integrated Circuits Manufacturing.
Io School of Microelectronic Engineering Lecture IV B.
EE412 Deep Trench Spray Coating Final Presentation Karthik Vijayraghavan Mentor : Jason Parker 12/08/
Date of download: 11/12/2016 Copyright © 2016 SPIE. All rights reserved. A sketch of a micro four-point probe with integrated CNTs in situ grown from nickel.
Process integration 2: double sided processing, design rules, measurements
Wisconsin Center for Applied Microelectronics
Brian Tang and Duane Boning MIT Microsystems Technology Laboratories
EMT362: Microelectronic Fabrication
Chapter 1 & Chapter 3.
EMT362: Microelectronic Fabrication CMOS ISOLATION TECHNOLOGY Part 2
Etch Dry and Wet Etches.
Lecture #25 OUTLINE Device isolation methods Electrical contacts to Si
Section 9: CMP EE143 – Ali Javey.
Manufacturing Process I
ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING
Is Co-existence Possible?
complementary metal–oxide–semiconductor Isolation Technology: Part 2
Chapter 1.
SILICON MICROMACHINING
PlasmaTherm DSE Qual - Nano Recipe
Photolithography.
CSE 87 Fall 2007 Chips and Chip Making
Presentation transcript:

Chemical Mechanical Planarization of TEOS SiO2 for Shallow Trench Isolation Processes on an IPEC/Westech 372 Wafer Polisher Michael Aquilino Microelectronic Engineering Department Rochester Institute of Technology EMCR 801: MicroE Graduate Seminar October 17, 2005

Outline STI vs. LOCOS Example STI Process CMP Equipment and Materials Westech 372 “How-To” CMP Results Process and Layout Challenges Questions 2

STI vs. LOCOS Isolation Schemes W WEFF STI WDRAWN ≈ WACTUAL Increased packing density Larger drive current for devices with same WDRAWN Decreased Topography LOCOS WEFF < WDRAWN due to “Bird’s Beak” Effect Transistors must be made wider to achieve nominal drive current, decreased packing density Difficult to use LOCOS < 0.5 µm STI is replacement of LOCOS as preferred isolation technology 3

Example STI Process Grow 500A Pad Oxide Deposit 1500A Si3N4 by LPCVD Level 1 Lithography to protect Active areas with photoresist STI Trench Etch RIE in Drytek Quad Target: 4000A Si Trench 4

Example STI Process Remove photoresist Grow 500A Liner Oxide Repair damage to sidewalls Deposit 7000A TEOS SiO2 by PECVD in Applied Materials P5000 CMP TEOS with Westech 372 Nitride is stopping layer since CMP slurry removes oxide 4x faster then nitride 5

Example STI Process Densify TEOS in Bruce Furnace for 60 min @ 1000C in N2 Remove Nitride in Phosphoric Acid (H3PO4) @ 175C Many more steps . . . Final CMOS Cross Section 6

CMP Equipment Schematic 7

Speedfam/IPEC/Westech Model 372 Note: The carrier oscillates as well as the table to improve uniformity 8

Pads and Slurry Current pad on Westech 372 is a Rodel CR IC1000-A2, 23” diameter Small circular pits for pattern. Others have rings, diamonds, checkerboard, etc Diamond grit pad conditioner will rough up surface of pad to increase friction with wafer and maintain etch rate and uniformity Slurries are colloidal silica particles of sub-micron size in KOH or NH4OH with pH of 10. Claims by Rodel Corp. of Cerium dioxide (CeO2) slurry with selectivity to nitride as high as 200:1 9

H.C. Stark LEVASIL Brand Slurries 9 nm particles 15 nm particles *LEVASIL 50 ->55 nm particles 30 nm particles We have LEVASIL 50/20%, 100/45%, 200/30%, and 50/50% (on order) First number is specific area of particles in m2/g (smaller means bigger) Second number is % solid in solution (larger means more particles) http://www.hcstarck.de/pages/137/levasil_eng_2004_web9872.pdf 10

Westech 372 “How-to” & Process Knobs Carrier Speed (10-100 RPM, too fast and wafer can hydroplane across pad) Table Speed (10-100 RPM, for slurry distribution) Down Force (4 to 10 PSI, too low and wafer can hydroplane, too high and wafer can break) Slurry Flow (10 - 100 mL/min) The computer displays various outputs to monitor: Pad Temp (76-80 degrees F) Carrier Current (3-5 Amps) Wafer Pressure (# not correct, computer can’t control the down force automatically) 11

Wafer Pressure Calculation Down Force/Wafer Pressure is controlled by gauge on side of tool. 80 PSI on gauge is 500 lbs of down force distributed over the area of 6” wafer (28.26 sq. in.) Wafer Pressure = 0.2211 * Gauge Pressure Gauge (PSI) Wafer (PSI) 18 4 22 5 27 6 32 7 Note: The Westech will not engage the down force and timer will not begin unless gauge pressure is below 10 PSI. 12

CMP Characterization MIKESTI Process uses: Carrier Speed = 70 RPM Wafer Pressure Ox/Nit Selectivity Non Uniformity (%) (PSI) Avg Oxide Nitride 4 2.79 73.51 48.13 5 3.64 45.24 17.01 6 3.87 34.32 38.13 7 3.32 33.39 26.95 MIKESTI Process uses: Carrier Speed = 70 RPM Table Speed = 50 RPM Wafer Pressure = 6 PSI Slurry Flow = 60 mL/min Carrier Vacuum = Off 13

Results using MIKESTI Process After 5 minutes of Polishing Wafer C10 before CMP After 9.5 minutes of Polishing Edges are polishing faster than center of wafer ~4” diameter of 6” wafer is useable 14

Edge vs. Center Removal Rate This plot is from Intel Corp. and illustrates the difference between center and edge removal for CMP Westech 472 and beyond has ability to apply back pressure to wafer (0-2 PSI is typical) to improve the center-edge non-uniformity 15

Anisotropic RIE of Silicon in Drytek Quad Photoresist 4 um 5 um 10 um Photoresist is flopping over at end of etch, masking the last minute of Si etch, as seen by the bump Need longer resist hard bake and maybe a lower power etch (less then 250W used for this recipe) Drytek Quad clearly is capable of anisotropic profiles 16

RIE Trench Etch with Photoresist 0.5 um 1.0 um 17

7000A Trench Fill with PECVD TEOS 0.6 um 1.0 um 18

SEM After CMP of Minimum Width Feature TEOS Nitride Pad Oxide 1 µm wide Liner Oxide 19

Test Chip Layout 11 Design Layers 10 Masks 12 Lithography Levels 20

Active Layer of Test Chip only 21

Area Dependence of CMP Nitride Clear over Small Active Areas Nitride removal rate greatly reduced at edge of chip due to nitride streets Some Nitride remains over larger areas Nitride Clear over Small Active Areas 22

Process/Layout Challenges CMP is strongly dependent on pattern density Small areas polish faster Dense areas polish slower and reduce dishing of the field oxide Each layout will require a different polish time Dummy structures should be added to reduce the pattern density dependence Active mask should be redesigned to allow for clear field streets. This will prevent the edges of the chips from polishing slower since pad will not be supported by large active area streets 23

References http://www.cnf.cornell.edu/doc/CMP%2520Primer.pdf http://www.erc.arizona.edu/Education/MME%20Course%20Materials/MME%20Modules/CMP%20Module/CMP%20Tutorial.ppt http://www.rit.edu/~lffeee/lec_cmp.pdf 24

Acknowledgements Dr. Lynn Fuller Bruce Tolleson Dr. Sean Rommel Dan Jaeger 25