Process Flow Steps Steps –Choose a substrate  Add epitaxial layers if needed –Form n and p regions –Deposit contacts and local interconnects –Deposit.

Slides:



Advertisements
Similar presentations
BEOL Al & Cu.
Advertisements

CHAPTER 8: THERMAL PROCESS (continued). Diffusion Process The process of materials move from high concentration regions to low concentration regions,
CMOS Fabrication EMT 251.
Lecture 0: Introduction
Simplified Example of a LOCOS Fabrication Process
MetalMUMPs Process Flow
CMOS Process at a Glance
Chapter 2 Modern CMOS technology
VLSI Design Lecture 2: Basic Fabrication Steps and Layout
For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN © 2002.
EE143 – Ali Javey Section 8: Metallization Jaeger Chapter 7.
Introduction to CMOS VLSI Design Lecture 0: Introduction
Device Fabrication Technology
The Deposition Process
ECE/ChE 4752: Microelectronics Processing Laboratory
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #5.
Device Fabrication Example
Introduction Integrated circuits: many transistors on one chip.
Surface micromachining
CMOS Process Integration ECE/ChE 4752: Microelectronics Processing Laboratory Gary S. May March 25, 2004.
Rochester Institute of Technology - MicroE © REP/LFF 8/17/2015 Metal Gate PMOS Process EMCR201 PMOS page-1  10 Micrometer Design Rules  4 Design Layers.
ES 176/276 – Section # 2 – 09/19/2011 Brief Overview from Section #1 MEMS = MicroElectroMechanical Systems Micron-scale devices which transduce an environmental.
ISAT 436 Micro-/Nanofabrication and Applications MOS Transistor Fabrication David J. Lawrence Spring 2001.
Z. Feng VLSI Design 1.1 VLSI Design MOSFET Zhuo Feng.
McGill Nanotools Microfabrication Processes
CS/EE 6710 CMOS Processing. N-type Transistor + - i electrons Vds +Vgs S G D.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #2. Chip Fabrication  Silicon Ingots  Wafers  Chip Fabrication Steps (FEOL, BEOL)  Processing Categories 
Outline Introduction CMOS devices CMOS technology
MOHD YASIR M.Tech. I Semester Electronics Engg. Deptt. ZHCET, AMU.
Silicon – On - Insulator (SOI). SOI is a very attractive technology for large volume integrated circuit production and is particularly good for low –
Metallization: Contact to devices, interconnections between devices and to external Signal (V or I) intensity and speed (frequency response, delay)
Lecture 0: Introduction. CMOS VLSI Design 4th Ed. 0: Introduction2 Introduction  Integrated circuits: many transistors on one chip.  Very Large Scale.
1. A clean single crystal silicon (Si) wafer which is doped n-type (ColumnV elements of the periodic table). MOS devices are typically fabricated on a,
Chapter 4 Overview of Wafer Fabrication
IC Process Integration
SEMINAR ON IC FABRICATION MD.ASLAM ADM NO:05-125,ETC/2008.
Semiconductor Manufacturing Technology Michael Quirk & Julian Serda © October 2001 by Prentice Hall Chapter 9 IC Fabrication Process Overview.
Dielectrics • Dielectrics electrically and
Introduction to CMOS VLSI Design CMOS Fabrication and Layout Harris, 2004 Updated by Li Chen, 2010.
© 2001 by Prentice HallSemiconductor Manufacturing Technology by Michael Quirk and Julian Serda Semiconductor Manufacturing Technology Michael Quirk &
Lecture 24a, Slide 1EECS40, Fall 2004Prof. White Lecture #24a OUTLINE Device isolation methods Electrical contacts to Si Mask layout conventions Process.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #3. Diffusion  Introduction  Diffusion Process  Diffusion Mechanisms  Why Diffusion?  Diffusion Technology.
Introduction EE1411 Manufacturing Process. EE1412 What is a Semiconductor? Low resistivity => “conductor” High resistivity => “insulator” Intermediate.
EMT362: Microelectronic Fabrication Interlevel Dielectric Technology
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
IC Processing. Initial Steps: Forming an active region Si 3 N 4 is etched away using an F-plasma: Si3dN4 + 12F → 3SiF 4 + 2N 2 Or removed in hot.
IC Fabrication/Process
NMOS FABRICATION 1. Processing is carried out on a thin wafer cut from a single crystal of silicon of high purity into which the required p-impurities.
CORPORATE INSTITUTE OF SCIENCE & TECHNOLOGY, BHOPAL DEPARTMENT OF ELECTRONICS & COMMUNICATIONS NMOS FABRICATION PROCESS - PROF. RAKESH K. JHA.
Interconnection in IC Assembly
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
Chapter13 :Metallization
Dynamic Behavior of MOS Transistor. The Gate Capacitance t ox n + n + Cross section L Gate oxide x d x d L d Polysilicon gate Top view Gate-bulk overlap.
CMOS VLSI Fabrication.
CMOS FABRICATION.
Patterning - Photolithography
CMOS Fabrication EMT 251.
Basic Planar Processes
CMOS Fabrication CMOS transistors are fabricated on silicon wafer
Layout and fabrication of CMOS circuits
Metallization.
CMOS Process Flow.
Chapter 1 & Chapter 3.
Lecture #25 OUTLINE Device isolation methods Electrical contacts to Si
Process flow part 2 Develop a basic-level process flow for creating a simple MEMS device State and explain the principles involved in attaining good mask.
Manufacturing Process I
Chapter 1.
Manufacturing Process I
CSE 87 Fall 2007 Chips and Chip Making
Presentation transcript:

Process Flow Steps Steps –Choose a substrate  Add epitaxial layers if needed –Form n and p regions –Deposit contacts and local interconnects –Deposit Multilevel metalization with isolating dielectrics between layers –Backside processing –Bonding pad deposition –Final test –Die separation –Packaging

Introduction So far, we have studied each of the unit processes of IC fabrication So far, we have studied each of the unit processes of IC fabrication –The details of each process depend on the context in which they are used—i.e., they depend on what comes before and after each step

CMOS Process Flow A simple CMOS circuit is the inverter A simple CMOS circuit is the inverter –A low on the input prevents the NMOS from conducting and allows the PMOS to conduct, thus drawing the output high –A high on the input allows the NMOS to conduct and prevents the PMOS from conducting, thus pulling the output low

CMOS Inverter Circuit

CMOS Process Flow Must be able to build both NMOS and PMOS together on the same chip Must be able to build both NMOS and PMOS together on the same chip –In other circuits, components such as resistors and capacitors may be integrated on the chip

Choosing a Substrate –Type (N or P) –Resistivity (doping level) –Crystal orientation –Wafer size –Wafer flatness –Trace impurities Most CMOS is built on P-type (100) of moderate resistivity (25-50  -cm) Most CMOS is built on P-type (100) of moderate resistivity (25-50  -cm) –This corresponds to a doping of about cm -3

Choosing a Substrate The typical well doping is – cm -3 near the wafer surface The typical well doping is – cm -3 near the wafer surface –This process is called the “twin well” or “twin tub” process and can be much better controlled by using a higher-doped substrate The crystal orientation (100) is determined by the low defect concentrations in the SiO 2 /Si interface in this orientation The crystal orientation (100) is determined by the low defect concentrations in the SiO 2 /Si interface in this orientation

Modern CMOS chips have millions of active devices (NMOS and PMOS) side by side in a common silicon substrate Modern CMOS chips have millions of active devices (NMOS and PMOS) side by side in a common silicon substrate –These devices can not interact with each other except via their circuit interconnections Isolation is obtained by growing a thick layer of SiO 2 between each of the active devices Isolation is obtained by growing a thick layer of SiO 2 between each of the active devices –LOCOS (LOCal Oxidation of Silicon).

Wafer After LOCOS Prior to LOCOS, a thin silicon oxide layer capped with silicon nitride (or a tri-layer of oxide, polysilicon, and nitride) was grown and patterned to prevent a thick oxide from growing everywhere. Prior to LOCOS, a thin silicon oxide layer capped with silicon nitride (or a tri-layer of oxide, polysilicon, and nitride) was grown and patterned to prevent a thick oxide from growing everywhere.

Device Isolation: Shallow Trench Isolation Etch trenches in the Si, which are then fill with a deposited oxide Etch trenches in the Si, which are then fill with a deposited oxide –Process begins as with LOCOS –Fluorine-based etch is used to etch through the nitride, the oxide, and into the wafer  The trenches are approximately 0.5  m deep

Shallow Trench Isolation

This process eliminates the long time, high temperature LOCOS oxidation This process eliminates the long time, high temperature LOCOS oxidation –Stresses are not so severe and the film thicknesses do not have to be so tightly controlled –Walls of trenches need to be vertical or slightly sloped; there can be no undercutting –Top and bottom corners of trenches need to be slightly rounded to avoid electrical effects associated with high fields at sharp corners

Shallow Trench Isolation (STI) A thick layer of SiO 2 is deposited by CVD A thick layer of SiO 2 is deposited by CVD –This process cannot leave gaps or voids in the trenches Wafer surface is polished using chemical-mechanical polishing (CMP) Wafer surface is polished using chemical-mechanical polishing (CMP) –to remove the excess oxide and flatten surface

Shallow Trench Isolation

Final Device Structure

Contact and Local Interconnects Must be connected electrically together Must be connected electrically together –The first level is called the local interconnect The first step is to remove the oxide over the source and drain The first step is to remove the oxide over the source and drain –This etch may be performed without a mask because the oxide to be removed is very thin

Contacts and Local Interconnects Deposit 50 – 100 nm of Ti over the entire wafer Deposit 50 – 100 nm of Ti over the entire wafer –This is usually done by sputtering –The wafers are then heated in N 2 at 600 C for 1 minute  Ti reacts with Si to form TiSi 2 where they are in contact  This consumes some Si so the source and drain junctions made deeper than needed.

Silicide Formation

Contact and Local Interconnects TiSi 2 is an excellent conductor and forms low resistance contacts with the Si and the poly-Si TiSi 2 is an excellent conductor and forms low resistance contacts with the Si and the poly-Si Ti also reacts with N 2 to form TiN (the dotted layer Ti also reacts with N 2 to form TiN (the dotted layer –Also a conductor, but it is not as good as TiSi 2. –It is only used for local (short distance) interconnects  Resistance of long lines made of TiN would cause unacceptable RC delays in most circuits

Contact and Local Interconnects Wafer is then heated to 800 C for 1 min to reduce the resistivity of the TiSi 2 to its final value Wafer is then heated to 800 C for 1 min to reduce the resistivity of the TiSi 2 to its final value

Multilevel Metal Formation The final steps are the deposition and patterning of two layers of metal interconnects The final steps are the deposition and patterning of two layers of metal interconnects –At this stage the wafer is highly non-planar. The many layers deposited and patterned leave many hillocks  Problems with discontinuities at the steps and because of thin regions where metal crosses the steps  photolithography is very difficult on non-planar surfaces

Multilevel Metal Formation Many techniques have been devised to planarize the surface. Many techniques have been devised to planarize the surface. –A fairly thick layer of SiO 2 is deposited on the wafer by CVD or LPCVD.  Layer is thicker than the largest steps on the surface (typically 1  m)  Often doped with either or both P and B to create a phosphosilicate (PSG) or borophosphosilicate glass (BPSG)

Multilevel Metal Formation Wafer is heated to 800 – 900 C to allow the glass to flow to smooth the surface Wafer is heated to 800 – 900 C to allow the glass to flow to smooth the surface –Glass reflow does not fully planarize the surface Layer of photoresist was spun on to fill in the valleys Layer of photoresist was spun on to fill in the valleys –Wafer is then plasma etched under conditions that etch the photoresist and the SiO 2 equally –Etch with no mask until resist (and some of the oxide) is gone

Multilevel Metal Formation Or planarize the surface using CMP

Multilevel Metal Formation

The wafer is now covered with a deposition of TiN or a Ti/TiN bilayer using either sputtering or CVD The wafer is now covered with a deposition of TiN or a Ti/TiN bilayer using either sputtering or CVD –Layer is a few tens of nanometers thick  Provides good adhesion to SiO 2 and other underlying materials  It also acts as a barrier between the upper metal layers and the lower local interconnect layers Tungsten (W) is deposited by CVD Tungsten (W) is deposited by CVD

Multilevel Metal Formation

The surface is again planarized using CMP to remove the TiN and W everywhere except in the contact holes The surface is again planarized using CMP to remove the TiN and W everywhere except in the contact holes This process of etching, filling an planarizing the contact holes is called the damascene process This process of etching, filling an planarizing the contact holes is called the damascene process

Multilevel Metal Formation

Metal 1 is deposited (usually) by using sputtering Metal 1 is deposited (usually) by using sputtering –It is defined using resist and etchback process –Al usually has a small amount of Si and Cu Cu is now replacing Al in these metal layers Cu is now replacing Al in these metal layers –Ti and W layers prevent Cu indiffusion into Si wafer and protect Cu from harsh chemicals used to open dielectrics deposited on top of metalization

Multilevel Metal Formation

Multiple metal levels are deposited by repeating these steps. Multiple metal levels are deposited by repeating these steps. –After the final metal layer is deposited, a capping protective layer of SiO 2 or Si 3 N 4 is deposited –Provides some protection needed for handling during the packaging process Final anneal at C in forming gas Final anneal at C in forming gas –Alloy metal contacts to reduce contact resistance between metal and Si –Reduce the electrical charges in the Si/SiO 2 interface

Multilevel Metal Formation

Bonding pads Thick layer of metalization where connections off-chip are made Thick layer of metalization where connections off-chip are made –Wire bonds –Ball Grid Array (BGA) –Flip-chip metalization (solder) opic/bga

Wire is compressed into thick metal region on wafer. Ball bond is on the left; wedge bond in on the right. Wedge bonds have a smaller footprint than ball bonds.

Electroplating Voltage drop between wafer and electrode drives metal ions in a solution to the wafer surface. Voltage drop between wafer and electrode drives metal ions in a solution to the wafer surface. Exchange of charge causes ions to become neutral atoms and precipitate out of solution on to wafer. Exchange of charge causes ions to become neutral atoms and precipitate out of solution on to wafer. –Thickness of greater than 100 microns can be deposited –Some alloy compositions and low temperature solders can be plated.  Au/Sn, In

Dicing Diamond edged saw blade. Diamond edged saw blade. –Wafer mounted on tacky tape, which will be used to transport chips to final test  Wafer surface is protected from chips and debris generated during sawing  Width of saw cut is called a kerf –Usually 2-3 times the width of the saw blade  Damage along kerf can propagate into chip during mechanical, electrical, and thermal stress –Design rules incorporate a margin around area to be cut to minimize the electrical and thermal stress