EE Semiconductor Electronics Design Project

Slides:



Advertisements
Similar presentations
MICROELECTROMECHANICAL SYSTEMS ( MEMS )
Advertisements

FABRICATION PROCESSES
Course: Analog Circuit Design Time schedule: Tu We Th Office hours: Tu Exams: Feb. (2), Jun.-Jul. (2), Sep.
CHAPTER 8: THERMAL PROCESS (continued). Diffusion Process The process of materials move from high concentration regions to low concentration regions,
Process Flow : Overhead and Cross Section Views ( Diagrams courtesy of Mr. Bryant Colwill ) Grey=Si, Blue=Silicon Dioxide, Red=Photoresist, Purple= Phosphorus.
CMOS Fabrication EMT 251.
Wally Dream Job.
Tutorial 3 Derek Wright Wednesday, February 2 nd, 2005.
Section 3: Etching Jaeger Chapter 2 Reader.
ECE/ChE 4752: Microelectronics Processing Laboratory
Photolithography. Outline Motivation History  Photolithography Methods and Theories  Preparation and Priming  Spin-Coating  Photoresists  Soft-baking.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
1 Microelectronics Processing Course - J. Salzman - Jan Microelectronics Processing Oxidation.
Integrated Circuits (ICs)
The Physical Structure (NMOS)
The Deposition Process
YoHan Kim  Thin Film  Layer of material ranging from fractions of nanometer to several micro meters in thickness  Thin Film Process 
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #5.
ECE 424 – Introduction to VLSI Design Emre Yengel Department of Electrical and Communication Engineering Fall 2012.
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
Device Fabrication Example
1 ME 381R Fall 2003 Micro-Nano Scale Thermal-Fluid Science and Technology Lecture 18: Introduction to MEMS Dr. Li Shi Department of Mechanical Engineering.
Rochester Institute of Technology - MicroE © REP/LFF 8/17/2015 Metal Gate PMOS Process EMCR201 PMOS page-1  10 Micrometer Design Rules  4 Design Layers.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #7. Etching  Introduction  Etching  Wet Etching  Dry Etching  Plasma Etching  Wet vs. Dry Etching  Physical.
ES 176/276 – Section # 2 – 09/19/2011 Brief Overview from Section #1 MEMS = MicroElectroMechanical Systems Micron-scale devices which transduce an environmental.
Lecture 4 Photolithography.
McGill Nanotools Microfabrication Processes
Micro-fabrication.
CS/EE 6710 CMOS Processing. N-type Transistor + - i electrons Vds +Vgs S G D.
Fabrication of Active Matrix (STEM) Detectors
Lecture on Integrated Circuits (ICs)
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #2. Chip Fabrication  Silicon Ingots  Wafers  Chip Fabrication Steps (FEOL, BEOL)  Processing Categories 
Material Science and Technology 2
1. A clean single crystal silicon (Si) wafer which is doped n-type (ColumnV elements of the periodic table). MOS devices are typically fabricated on a,
Chapter 4 Overview of Wafer Fabrication
Gas-to Solid Processing surface Heat Treating Carburizing is a surface heat treating process in which the carbon content of the surface of.
SEMINAR ON IC FABRICATION MD.ASLAM ADM NO:05-125,ETC/2008.
I.C. Technology Processing Course Trinity College Dublin.
SEMINAR PRESENTATION ON IC FABRICATION PROCESS
Introduction to CMOS VLSI Design CMOS Fabrication and Layout Harris, 2004 Updated by Li Chen, 2010.
Elemental silicon is melted and grown into a single crystal ingot Single crystal ingot being grown Completed silicon ingot.
Top Down Manufacturing
Top Down Method Etch Processes
Introduction EE1411 Manufacturing Process. EE1412 What is a Semiconductor? Low resistivity => “conductor” High resistivity => “insulator” Intermediate.
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
IC Processing. Initial Steps: Forming an active region Si 3 N 4 is etched away using an F-plasma: Si3dN4 + 12F → 3SiF 4 + 2N 2 Or removed in hot.
ISAT 436 Micro-/Nanofabrication and Applications Photolithography David J. Lawrence Spring 2004.
CORPORATE INSTITUTE OF SCIENCE & TECHNOLOGY, BHOPAL DEPARTMENT OF ELECTRONICS & COMMUNICATIONS NMOS FABRICATION PROCESS - PROF. RAKESH K. JHA.
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
Fundamentals of Semiconductor Physics 万 歆 Zhejiang Institute of Modern Physics Fall 2006.
Etching: Wet and Dry Physical or Chemical.
LITHOGRAPHY IN THE TOP-DOWN PROCESS - BASICS
 Refers to techniques for fabrication of 3D structures on the micrometer scale  Most methods use silicon as substrate material  Some of process involved.
CMOS VLSI Fabrication.
CMOS FABRICATION.
Course: Analog Circuit Design Time schedule: Mo We Th Office hours: We Exams: Feb. (2), Jun.-Jul. (2), Sep.
Lecture 24, Slide 1EECS40, Spring 2004Prof. Sanders Lecture #24 OUTLINE Modern IC Fabrication Technology –Doping –Oxidation –Thin-film deposition –Lithography.
Farooq Ahmad Shah Manufacturing of Microprocessor.
Patterning - Photolithography
CMOS Fabrication EMT 251.
IC Manufactured Done by: Engineer Ahmad Haitham.
CMOS Fabrication CMOS transistors are fabricated on silicon wafer
Prof. Jang-Ung Park (박장웅)
積體電路元件與製程 半導體物理 半導體元件 PN junction CMOS 製程.
Chapter 1 & Chapter 3.
Chapter 1.
LITHOGRAPHY Lithography is the process of imprinting a geometric pattern from a mask onto a thin layer of material called a resist which is a radiation.
BONDING The construction of any complicated mechanical device requires not only the machining of individual components but also the assembly of components.
CSE 87 Fall 2007 Chips and Chip Making
Basic Planar Process 1. Silicon wafer (substrate) preparation
Presentation transcript:

EE 4345 - Semiconductor Electronics Design Project Silicon Manufacturing Group Members Young Soon Song Nghia Nguyen Kei Wong Eyad Fanous Hanna Kim Steven Hsu

HISTORY 19th Century - Solid-State Rectifiers 1907 - Application of Crystal Detector in Radio Sets 1947 - BJT Constructed by Bardeen and Brattain 1959 – Integrated Circuit Constructed by Kilby

Semiconductor Manufacturing Process

Semiconductor Manufacturing Process Fundamental Processing Steps 1.Silicon Manufacturing a) Czochralski method. b) Wafer Manufacturing c) Crystal structure 2.Photolithography a) Photoresists b) Photomask and Reticles c) Patterning

Semiconductor Manufacturing Process (cont) 3.Oxide Growth & Removal a) Oxide Growth & Deposition b) Oxide Removal c) Other effects d) Local Oxidation 4. Diffusion & Ion Implantation a) Diffusion b) Other effects c) Ion Implantation

Silicon Manufacturing Crystal Growth and Wafer Manufacturing

FABRICATING SILICON Quartz, or Silica, Consists of Silicon Dioxide Sand Contains Many Tiny Grains of Quartz Silicon Can be Artificially Produced by Combining Silica and Carbon in Electric Furnice Gives Polycrystalline Silicon (multitude of crystals) Practical Integrated Circuits Can Only be Fabricated from Single-Crystal Material

CRYSTAL GROWTH Czochralski Process is a Technique in Making Single-Crystal Silicon A Solid Seed Crystal is Rotated and Slowly Extracted from a Pool of Molten Si Requires Careful Control to Give Crystals Desired Purity and Dimensions

CYLINDER OF MONOCRYSTALLINE The Silicon Cylinder is Known as an Ingot Typical Ingot is About 1 or 2 Meters in Length Can be Sliced into Hundreds of Smaller Circular Pieces Called Wafers Each Wafer Yields Hundreds or Thousands of Integrated Circuits

WAFER MANUFACTURING The Silicon Crystal is Sliced by Using a Diamond-Tipped Saw into Thin Wafers Sorted by Thickness Damaged Wafers Removed During Lapping Etch Wafers in Chemical to Remove any Remaining Crystal Damage Polishing Smoothes Uneven Surface Left by Sawing Process http://www.xensei.com/users/adex/prodtech/silicon_wafer.html

THE CRYSTAL STRUCTURE OF SILICON A Unit Cell Has 18 Silicons Atoms Weak Bonding Along Cleavage Planes Wafer Splits into 4 or 6 Wedge-Shaped Fragments Miller Indices is Used to Assign to Each Possible Plane Passing Through the Crystal Lattice

Silicon Manufacturing Photolithography

Photolithography Photolithography is a technique that is used to define the shape of micro-machined structures on a wafer.

Photolithography Photoresist The first step in the photolithography process is to develop a mask, which will be typically be a chromium pattern on a glass plate. Next, the wafer is then coated with a polymer which is sensitive to ultraviolet light called a photoresist. Afterward, the photoresist is then developed which transfers the pattern on the mask to the photoresist layer.

Photolithography Photoresist There are two basic types of Photoresists Positive and Negative. Positive resists. Positive resists decomposes ultraviolet light. The resist is exposed with UV light wherever the underlying material is to be removed. In these resists, exposure to the UV light changes the chemical structure of the resist so that it becomes more soluble in the developer. The exposed resist is then washed away by the developer solution, leaving windows of the bare underlying material. The mask, therefore, contains an exact copy of the pattern which is to remain on the wafer.

Photolithography Photoresist Negative resists Exposure to the UV light causes the negative resist to become polymerized, and more difficult to dissolve. Therefore, the negative resist remains on the surface wherever it is exposed, and the developer solution removes only the unexposed portions. Masks used for negative photoresists, therefore, contain the inverse (or photographic "negative") of the pattern to be transferred.

Photolithography Model Figure 1a shows a thin film of some material (eg, silicon dioxide) on a substrate of some other material (eg, a silicon wafer). Photoresist layer (Figure 1b ) Ultraviolet light is then shone through the mask onto the photoresist (figure 1c).

Photolithography Model (cont) The photoresist is then developed which transfers the pattern on the mask to the photoresist layer (figure 1d). A chemical (or some other method) is then used to remove the oxide where it is exposed through the openings in the resist (figure 1e). Finally the resist is removed leaving the patterned oxide (figure 1f).

Photolithography Photomasks and Reticles This is a square glass plate with a patterned emulsion of metal film on one side. The mask is aligned with the wafer, so that the pattern can be transferred onto the wafer surface. Each mask after the first one must be aligned to the previous pattern.

Photolithography Photomasks and Reticles When a image on the photomask is projected several time side by side onto the wafer, this is known as stepping and the photomask is called a reticle. An common reticle is the 5X The patterns on the 5X reticle are reduced 5 times when projected onto the wafer. This means the dies on the photomask are 5 times larger than they are on the final product. There are other kinds of reduction reticles (2X, 4X, and 10X), but the 5X is the most commonly used. Reduction reticles are used on a variety of steppers, the most common being ASM, Canon, Nikon, and GCA.

Photolithography Photomasks and Reticles Examples of 5X Reticles:

Photolithography Photomasks and Reticles Once the mask has been accurately aligned with the pattern on the wafer's surface, the photoresist is exposed through the pattern on the mask with a high intensity ultraviolet light. There are three primary exposure methods: contact, proximity, and projection.

Photolithography Patterning The last stage of Photolithography is a process called ashing. This process has the exposed wafers sprayed with a mixture of organic solvents that dissolves portions of the photoresist . Conventional methods of ashing require an oxygen-plasma ash, often in combination with halogen gases, to penetrate the crust and remove the photoresist.  Usually, the plasma ashing process also requires a follow-up cleaning with wet-chemicals and acids to remove the residues and non-volatile contaminants that remain after ashing.  Despite this treatment, it is not unusual to repeat the "ash plus wet-clean" cycle in order to completely remove all photoresist and residues.

Silicon Manufacturing Oxidation of Silicon

SiO2 growth is a key process step in manufacturing all Si devices - Thick (­ 1µm) oxides are used for field oxides (isolate devices from one another ) - Thin gate oxides (­100 Å) control MOS devices - Sacrificial layers are grown and removed to clean up surfaces The stability and ease of formation of SiO2 was one of the reasons that Si replaced Ge as the semiconductor of choice.

The simplest method of producing an oxide layer consists of heating a silicon wafer in an oxidizing atmosphere.

Dry oxide - Pure dry oxygen is employed Disadvantage - Dry oxide grows very slowly. Advantage - Oxide layers are very uniform. - Relatively few defects exist at the oxide-silicon interface (These defects interfere with the proper operation of semiconductor devices) - It has especially low surface state charges and thus make ideal dielectrics for MOS transistors.

Wet oxide - In the same way as dry oxides, but steam is injected Disadvantage - Hydrogen atoms liberated by the decomposition of the water molecules produce imperfections that may degrade the oxide quality. Advantage - Wet oxide grows fast. - Useful to grow a thick layer of field oxide

Deposited Oxides Oxide is frequently employed as an insulator between two layers of metalization. In such cases, some form of deposited oxide must be used rather than the grown oxides. Deposited oxides can be produced by various reactions between gaseous silicon compounds and gaseous oxidizers. Deposited oxides tend to possess low densities and large numbers of defect sites. Not suitable for use as gate dielectrics for MOS transistors but still acceptable for use as insulating layers between multiple conductor layers, or as protective overcoats.

Key Variables in Oxidation Temperature - reaction rate - solid state diffusion Oxidizing species - wet oxidation is much faster than dry oxidation Surface cleanliness - metallic contamination can catalyze reaction - quality of oxide grown (interface states)

Etching Etching is the process where unwanted areas of films are removed by either dissolving them in a wet chemical solution (Wet Etching) or by reacting them with gases in a plasma to form volatile products (Dry Etching). Resist protects areas which are to remain. In some cases a hard mask, usually patterned layers of SiO2 or Si3N4, are used when the etch selectivity to photoresist is low or the etching environment causes resist to delaminate. This is part of lithography - pattern transfer.

Wet Chemical Etching Wet etches: - are in general isotropic (not used to etch features less than ≈ 3 µm) - achieve high selectivities for most film combinations - capable of high throughputs - use comparably cheap equipment - can have resist adhesion problems - can etch just about anything

Example Wet Processes For SiO2 etching - HF + NH4F+H20 (buffered oxide etch or BOE) For Si3N4 - Hot phosphoric acid: H3PO4 at 180 °C - need to use oxide hard mask Silicon - Nitric, HF, acetic acids - HNO3 + HF + CH3COOH + H2O Aluminum - Acetic, nitric, phosphoric acids at 35-45 °C - CH3COOH+HNO3+H3PO4

What is a plasma (glow discharge)? A plasma is a partially ionized gas made up of equal parts positively and negatively charged particles. Plasmas are generated by flowing gases through an electric or magnetic field. These fields remove electrons from some of the gas molecules. The liberated electrons are accelerated, or energized, by the fields. The energetic electrons slam into other gas molecules, liberating more electrons, which are accelerated and liberate more electrons from gas molecules, thus sustaining the plasma.

Dry or Plasma Etching

Dry or Plasma Etching

Dry or Plasma Etching Combination of chemical and physical etching – Reactive Ion Etching (RIE) Directional etching due to ion assistance. In RIE processes the wafers sit on the powered electrode. This placement sets up a negative bias on the wafer which accelerates positively charge ions toward the surface. These ions enhance the chemical etching mechanisms and allow anisotropic etching. Wet etches are simpler, but dry etches provide better line width control since it is anisotropic.

Other Effects of Oxide Growth and Removal Oxide Step The differences in oxide thickness and in the depths of the silicon surfaces combine to produce a characteristic surface discontinuity The growth of a thermal oxide affects the doping levels in the underlying silicon The doping of silicon affects the rate of oxide growth

Local Oxidation of Silicon (LOCOS) LOCOS: localized oxidation of silicon using silicon nitride as a mask against thermal oxidation. A technique called local oxidation of silicon (LOCOS) allows the selective growth thick oxide layers CMOS and BiCMOS processes employ LOCOS to grow a thick field oxide over electrically inactive regions of the wafer

Silicon Manufacturing Diffusion and Ion Implantation

WN-Junction Fabrication (Earliest method) Process: Opposite polarity doping atoms are added to molten silicon during the Czochralski process to create in-grown junctions in the ingot. Repeated counterdopings can produce multiple junctions within the crystal. Disadvantages Inability to produce differently doped areas in different parts of the wafer. The thickness and planarity of grown junctions are difficult to control. Repeated counterdopings degrade the electrical properties of the silicon.

The Planar Process Advantages: The planar process does not require multiple counterdopings of the silicon ingot. This process allows more precise control of junction depths and dopant distributions.

Methods of planar process Diffusion A uniformly doped ingot is sliced into wafers. An oxide film is then grown on the wafers. The film is patterned and etched using photolithography exposing specific sections of the silicon. The wafers are then spun with an opposite polarity doping source adhering only to the exposed areas. The wafers are then heated in a furnace (800-1250 deg.C) to drive the doping atoms into the silicon. Ion Implantation A particle accelerator is used to accelerate a doping atom so that it can penetrate a silicon crystal to a depth of several microns Lattice damage to the crystal is then repaired by heating the wafer at a moderate temperature for a few minutes. This process is called annealing.

Diffusion Process Ion Implantation

Comparison of Diffusion and Ion Implantation Diffusion is a cheaper and more simplistic method, but can only be performed from the surface of the wafers. Dopants also diffuse unevenly, and interact with each other altering the diffusion rate. Ion implantation is more expensive and complex. It does not require high temperatures and also allows for greater control of dopant concentration and profile. It is an anisotropic process and therefore does not spread the dopant implant as much as diffusion. This aids in the manufacture of self-aligned structures which greatly improve the performance of MOS transistors.

References The Art of Analog Layout by Alan Hastings 2001 Prentice-Hall Semiconductor Devices by Mauro Zambuto 1989 McGraw-Hill Semiconductor Manufacturing Technology by Quirk and Serda 2001 Prentice-Hall

Bibliography http://www.casetechnology.com/implanter/implanter.html http://www.micron.com/content.jsp?catID=-8178&edID=16482 http://www.casetechnology.com/links.html http://www.msil.ab.psiweb.com/english/msilhist5-e.html http://www-3.ibm.com/chips/bluelogic/manufacturing/tour/ http://www.sematech.org/public/news/mfgproc/mfgproc.htm http://www.hongik.edu/~photonic/pe2k1/semi/index.html http://my.netian.com/~jinimp/semi/_lappingpolishing.html http://jas2.eng.buffalo.edu/papers.html http://www.photronics.com/internet/corpcomm/publications/basics101/basics.htm#section3