ADPCM Adaptive Differential Pulse Code Modulation

Slides:



Advertisements
Similar presentations
Random Number Generator Dmitriy Solmonov W1-1 David Levitt W1-2 Jesse Guss W1-3 Sirisha Pillalamarri W1-4 Matt Russo W1-5 Design Manager – Thiago Hersan.
Advertisements

M3: ProDiver 525 Kavita Arora (M3-1) *Lisa Gentry (M3-2) Steven Wasik (M3-3) Karolina Werner (M3-4) Stage : 4 Feb 04 Size Estimates/ Floor Plan Overall.
M2: Team Paradigm :: Milestone 6 2-D Discrete Cosine Transform Group M2: Tommy Taylor Brandon Hsiung Changshi Xiao Bongkwan Kim Project Manager: Yaping.
Design Goal Design an Analog-to-Digital Conversion chip to meet demands of high quality voice applications such as: Digital Telephony, Digital Hearing.
[M2] Traffic Control Group 2 Chun Han Chen Timothy Kwan Tom Bolds Shang Yi Lin Manager Randal Hong Wed. Nov. 19 Overall Project Objective : Dynamic Control.
Idongesit Ebong (1-1) Jenna Fu (1-2) Bowei Gai (1-3) Syed Hussain (1-4) Jonathan Lee (1-5) Design Manager: Myron Kwai Overall Project Objective: Design.
Team M1 Enigma Machine Milestone 5 Adithya Attawar (M11) Shilpi Chakrabarti (M12) Zavo Gabriel (M13) Mike Sokolsky (M14) Design Manager: Prateek Goenka.
6-BIT THERMOMETER CODER
Viterbi Decoder: Presentation #10 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 10: 5 th April Final Design Corrections.
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
Idongesit Ebong (1-1) Jenna Fu (1-2) Bowei Gai (1-3) Syed Hussain (1-4) Jonathan Lee (1-5) Design Manager: Myron Kwai Overall Project Objective: Design.
1 4-bit ALU Cailan Shen Ting-Lu Yang Advisor: Dr. Parent May 11, 2005.
1 Farhan Mohamed Ali (W2-1) Jigar Vora (W2-2) Sonali Kapoor (W2-3) Avni Jhunjhunwala (W2-4) Presentation 7 MAD MAC th March, 2006 Functional Block.
[M2] Traffic Control Group 2 Chun Han Chen Timothy Kwan Tom Bolds Shang Yi Lin Manager Randal Hong Wed. Oct. 22 Overall Project Objective : Dynamic Control.
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage VI: February 25 h 2004.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
Viterbi Decoder: Presentation #11 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 11: 12 th April 2004 Short Final Presentation.
Huffman Encoder Project. Howd - Zur Hung Eric Lai Wei Jie Lee Yu - Chiang Lee Design Manager: Jonathan P. Lee Huffman Encoder Project Final Presentation.
Noise Canceling in 1-D Data: Presentation #10 Seri Rahayu Abd Rauf Fatima Boujarwah Juan Chen Liyana Mohd Sharipp Arti Thumar M2 Mar 28 rd, 2005 Chip Level.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
1 Design of 8- Bit ALU Neelam Chaudhari Archana Mulukutla Namita Mittal Madhumita Sanyal Advisor : Dr. David Parent Date : May 8, 2006.
Camera Auto Focus Presentation 4, February 14 th, 2007 Team W1: Tom Goff (W11) David Hwang (W12) Kate Killfoile (W13) Greg Look (W14) Design Manager: Bowei.
[M2] Traffic Control Group 2 Chun Han Chen Timothy Kwan Tom Bolds Shang Yi Lin Manager Randal Hong Wed. Oct. 27 Overall Project Objective : Dynamic Control.
Design Goal Design an Analog-to-Digital Conversion chip to meet demands of high quality voice applications such as: Digital Telephony, Digital Hearing.
Group M3 Nick Marwaha Craig LeVan Jacob Thomas Darren Shultz Project Manager: Zachary Menegakis April 4, 2005 MILESTONE 11 LVS & Simulation DSP 'Swiss.
M3: ProDiver 525 *Kavita Arora (M3-1) Lisa Gentry (M3-2) Steven Wasik (M3-3) Karolina Werner (M3-4) Stage : 2 Feb 04 Size Estimates/ Floor Plan Overall.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
[M2] Traffic Control Group 2 Chun Han Chen Timothy Kwan Tom Bolds Shang Yi Lin Manager Randal Hong Mon. Nov. 11 Overall Project Objective : Dynamic Control.
Idongesit Ebong (1-1) Jenna Fu (1-2) Bowei Gai (1-3) Syed Hussain (1-4) Jonathan Lee (1-5) Design Manager: Myron Kwai Overall Project Objective: Design.
SADDAPALLI RUDRA ABHISHEK
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage VII: March 1 st 2004.
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage III: February 11 h 2004.
E-Voting Machine - Design Presentation Group M1 Bohyun Jessica Kim Jonathan Chiang Chi Ho Yoon Donald Cober Wed, Oct 29 Functional Layout Secure Electronic.
4-bit ALU Yamei Li, Yuping Liang Hua Qu, James Hsu
1 4-Bit ALU Chun-Wai Lee Shiela Valenciano Advisor: Dr. David Parent 12/05/05.
Sprinkler Buddy Presentation #8: “Testing/Finalization of all Modules and Global Placement” 3/26/2007 Team M3 Kartik Murthy Panchalam Ramanujan Sasidhar.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
Viterbi Decoder: Presentation #6 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 5: 23 rd Feb Component Simulation Design.
1 DESIGN OF 8-BIT ALU Vijigish Lella Harish Gogineni Bangar Raju Singaraju Advisor: Dr. David W. Parent 8 May 2006.
Team W3: Anthony Marchetta Derek Ritchea David Roderick Adam Stoler Milestone 5: Feb. 18 th Component Layout Overall Project Objective: Design an Air-Fuel.
Viterbi Decoder: Presentation #5 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 5: 18 th Feb Component layout Design Manager:
Viterbi Decoder: Presentation #7 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 7: 1st Mar Component layout (corrected)
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage II: February 4 th 2004.
1 8 Bit ALU EE 166 Design Project San Jose State University Roger Flores Brian Silva Chris Tran Harizo Yawary Advisor: Dr. Parent May 2006.
1 GPS Waypoint Navigation Team M-2: Charles Norman (M2-1) Julio Segundo (M2-2) Nan Li (M2-3) Shanshan Ma (M2-4) Design Manager: Zack Menegakis Presentation.
©2010 Cengage Learning Engineering. All Rights Reserved.10-0 Introduction to VHDL PowerPoint Presentation © Cengage Learning, Engineering. All Rights.
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage III: February 9 h 2004.
Encryption Transaction with 3DES Team W2 Yervant Dermenjian (W21) Taewan Kim (W22) Evan Mengstab(W23) Xiaochun Zhu(W24) Objective: To implement a secure.
Camera Auto Focus Presentation 4, February 14 th, 2007 Team W1: Tom Goff (W11) David Hwang (W12) Kate Killfoile (W13) Greg Look (W14) Design Manager: Bowei.
Viterbi Decoder: Presentation #4 Omar Ahmad Prateek Goenka Saim Qidwai Lingyan Sun M1 Overall Project Objective: Design of a high speed Viterbi Decoder.
Idongesit Ebong (1-1) Jenna Fu (1-2) Bowei Gai (1-3) Syed Hussain (1-4) Jonathan Lee (1-5) Design Manager: Myron Kwai Overall Project Objective: Design.
Team W1 Design Manager: Rebecca Miller 1. Bobby Colyer (W11) 2. Jeffrey Kuo (W12) 3. Myron Kwai (W13) 4. Shirlene Lim (W14) Stage IV: February 18 h 2004.
Viterbi Decoder: Presentation #9 M1 Overall Project Objective: Design a high speed Viterbi Decoder Stage 9: 29 nd Mar Chip Level Simulation Design.
Viterbi Decoder: Presentation #3 Omar Ahmad Prateek Goenka Saim Qidwai Lingyan Sun M1 Overall Project Objective: Design of a high speed Viterbi Decoder.
Team MUX Adam BurtonMark Colombo David MooreDaniel Toler.
Howd - Zur Hung Eric Lai Wei Jie Lee Yu - Chiang Lee Design Manager: Jonathan P. Lee [M2] Huffman Encoder Project Presentation #3 February 7 th, 2007 Overall.
1 EE 382M VLSI 1 EE 360R Computer-Aided Integrated Circuit Design Lab 1 Demo Fall 2011 Whitney J. Wadlow.
COE 360 Principles of VLSI Design Delay. 2 Definitions.
TDC status and to do 1. Status of TDC design 2. List of future activities.
ECE 3130 Digital Electronics and Design
4 BIT Arithmetic Logic Unit (ALU)
ECE 3130 Digital Electronics and Design
Digital Decode & Correction Logic
ADPCM Adaptive Differential Pulse Code Modulation
ADPCM Adaptive Differential Pulse Code Modulation
Predictive Coding.
Alpha Blending and Smoothing
Design of an 8 Bit Barrel Shifter
EE382M VLSI 1 LAB 1 DEMO FALL 2018.
Advisor: Jin-Fu Li TA: Shin-Yo Lin
Presentation transcript:

ADPCM Adaptive Differential Pulse Code Modulation Team M4 Andrew Akindele Edward Shim James Lee Anthony Xu Project Objectives Stage 6 Simulations Design and implement an Adaptive DPCM Manager : Joe Bakker Date Feb. 24, 2003 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Project Status Done Cadence Schematic Verilog Code Gate Level Layout Functional Block Layout (85%) Functional Block Simulations (85%) Next CLA LVS Component Corrections Chip Wiring Simulations and Verification 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Design Decisions Macroblocks for adders and muxes Helps as an intermediate step in LVS Allows some wiring of close modules Layout of CLA is taking a lot longer than expected, use RCA as backup 18-525 Integrated Circuit Design Project

Component Simulations Fall Rise ROMindex 1.43ns 0.45ns ROMstep 4.09ns 2.56ns RCA 8bit 0.54ns 1.01ns FSM FGOOD = 250Mhz Clamp_index 1.61ns 1.84ns Register FMAX=357Mhz FBAD=385Mhz 18-525 Integrated Circuit Design Project

Parasitics Used in Simulation Estimated 1fF for each nmos + pmos pair that a line drives Minimum sized inverter buffer for all inputs 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Rollercoaster Ride X 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project FSM Good 18-525 Integrated Circuit Design Project

Clamp_index Critical Path 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Clamp_index Rise 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Clamp_index Fall 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project ROMindex Fall 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project ROMindex Rise 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project ROMstep Fall 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project ROMstep Rise 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project RCA 8bit Fall 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project RCA 8bit Rise 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Register Good 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Register Bad 18-525 Integrated Circuit Design Project

18-525 Integrated Circuit Design Project Questions? 18-525 Integrated Circuit Design Project