Latches-1 Feedback Circuits Two inverters, with feedback If the first input is 0, a 0 gets fed back into it If the first input is 1, a 1 gets fed back.

Slides:



Advertisements
Similar presentations
Lab 08: SR Flip Flop Fundamentals:
Advertisements

Module 5 – Sequential Logic Design with VHDL
Lab 09 :D Flip Flop, Shift Registers and Switch Bounce: Slide 2 Slide 3 The D Flip Flop. 4-Bit Shift Register. Slide 4 Shift Register De-bounce System:
Changes in input values are reflected immediately (subject to the speed of light and electrical delays) on the outputs Each gate has an associated “electrical.
Introduction to Sequential Logic Design Latches. 2 Terminology A bistable memory device is the generic term for the elements we are studying. Latches.
1 Lecture 14 Memory storage elements  Latches  Flip-flops State Diagrams.
Give qualifications of instructors: DAP
Computer Science 210 Computer Organization Clocks and Memory Elements.
1 Sequential Ckts, Latches and Timing Issues Today: Sequential Circuits, LatchesFirst Hour: Sequential Circuits, Latches –Section of Katz’s Textbook.
Latches CS370 –Spring 2003 Section 4-2 Mano & Kime.
Flip-Flops Computer Organization I 1 June 2010 © McQuain, Feng & Ribbens A clock is a free-running signal with a cycle time. A clock may be.
CS 151 Digital Systems Design Lecture 19 Sequential Circuits: Latches.
Flip-Flops, Registers, Counters, and a Simple Processor
Module 12.  In Module 9, 10, 11, you have been introduced to examples of combinational logic circuits whereby the outputs are entirely dependent on the.
 Seattle Pacific University EE Logic System DesignLatches-1 Feedback Circuits Two inverters, with feedback If the first input is 0, a 0 gets fed.
1 Lecture 20 Sequential Circuits: Latches. 2 Overview °Circuits require memory to store intermediate data °Sequential circuits use a periodic signal to.
Sequential Circuits : Part I Read Sections 5-1, 5-2, 5-3.
Page 1 Sequential Logic Basic Binary Memory Elements.
Latches and Flip-Flops
Logic Design Fundamentals - 3 Discussion D3.2. Logic Design Fundamentals - 3 Basic Gates Basic Combinational Circuits Basic Sequential Circuits.
Latches Module M10.1 Section 7.1. Sequential Logic Combinational Logic –Output depends only on current input Sequential Logic –Output depends not only.
EECC341 - Shaaban #1 Lec # 13 Winter Sequential Logic Circuits Unlike combinational logic circuits, the output of sequential logic circuits.
Spring 2002EECS150 - Lec14-seq1 Page 1 EECS150 - Digital Design Lecture 14 - Sequential Circuits I (State Elements) March 12, 2002 John Wawrzynek.
Latches Section 4-2 Mano & Kime. Sequential Logic Combinational Logic –Output depends only on current input Sequential Logic –Output depends not only.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 22: Sequential Circuit Design (1/2) Prof. Sherief Reda Division of Engineering,
Latches Lecture L8.1 Section 7.1 – Book Sect. 8.1– Handout.
Latches and Flip-Flops Discussion D4.1 Appendix J.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 23: Sequential Circuit Design (1/3) Prof. Sherief Reda Division of Engineering,
Contemporary Logic Design Sequential Logic © R.H. Katz Transparency No Chapter #6: Sequential Logic Design Sequential Switching Networks.
Fall 2007 L16: Memory Elements LECTURE 16: Clocks Sequential circuit design The basic memory element: a latch Flip Flops.
Lecture #23 Page 1 ECE 4110– Sequential Logic Design Lecture #23 Agenda 1.Latches and Flip-Flops Review Announcements 1.HW #11assigned.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
1 Sequential Logic Lecture #7. 모바일컴퓨팅특강 2 강의순서 Latch FlipFlop Shift Register Counter.
FLIP FLOP By : Pn Siti Nor Diana Ismail CHAPTER 1.
ENG241 Digital Design Week #8 Registers and Counters.
Sequential Logic Combinatorial components: the output values are computed only from their present input values. Sequential components: their output values.
Topic: Sequential Circuit Course: Logic Design Slide no. 1 Chapter #6: Sequential Logic Design.
Digital Integrated Circuits for Communication
Introduction to Sequential Logic
© 2009 Pearson Education, Upper Saddle River, NJ All Rights ReservedFloyd, Digital Fundamentals, 10 th ed Digital Logic Design Dr. Oliver Faust.
 Seattle Pacific University EE Logic System DesignCounters-1 Shift Registers DQ clk DQ DQ ShiftIn Q3Q3 Q2Q2 DQ Q1Q1 Q0Q0 A shift register shifts.
CEC 220 Digital Circuit Design Latches and Flip-Flops Monday, March 03 CEC 220 Digital Circuit Design Slide 1 of 19.
Digital System Design using VHDL
Synchronous Sequential Logic A digital system has combinational logic as well as sequential logic. The latter includes storage elements. feedback path.
Instructor: Alexander Stoytchev CprE 281: Digital Logic.
Synchronous Sequential Circuits by Dr. Amin Danial Asham.
CS151 Introduction to Digital Design Chapter 5: Sequential Circuits 5-1 : Sequential Circuit Definition 5-2: Latches 1Created by: Ms.Amany AlSaleh.
Sequential Devices Sequential concept: output depends on present as well as past inputs Past inputs influence operations via memory elements.
Flip-Flop Flip-flops Objectives Upon completion of this chapter, you will be able to :  Construct and analyze the operation of a latch flip-flop made.
Sequential logic circuits First Class 1Dr. AMMAR ABDUL-HAMED KHADER.
Computer Architecture & Operations I
Lecture 10 Flip-Flops/Latches
LATCHES AND FLIP-FLOPS
Computer Architecture & Operations I
Registers and Counters
Computer Architecture & Operations I
Flip Flops.
ECE 4110–5110 Digital System Design
Flip-Flop.
Latches, Flip-Flops and Registers
ECE Digital logic Lecture 16: Synchronous Sequential Logic
Yee-Wing Hsieh Steve Jacobs
Sequential logic circuits
Sequential Circuits: Latches
CS Fall 2005 – Lec. #5 – Sequential Logic - 1
Sequential Circuits: Latches
Elec 2607 Digital Switching Circuits
触发器 Flip-Flops 刘鹏 浙江大学信息与电子工程学院 March 27, 2018
CSE 370 – Winter Sequential Logic - 1
Sequential Circuits: Latches
Presentation transcript:

Latches-1 Feedback Circuits Two inverters, with feedback If the first input is 0, a 0 gets fed back into it If the first input is 1, a 1 gets fed back into it This circuit will hold its state forever - stable

Latches-2 Changing the value How can we force this to be either ‘1’ or ‘0’? Replace one inverter with a tri-state inverter Add a tri-state inverter for input This is a simple one-bit memory cell. Load in When load=‘1’, straight connection from input to output – We can write values in When load=‘0’, feedback connection – holds state out Add some control logic

Latches-3 Ring Oscillators Odd # of stages leads to ring oscillator Timing Waveform: A B CD E F A B C D E F A and F are the same wire

Latches-4 Cross coupled NORs R S Q Qb S = set R = reset Q = output This is called an R-S Latch R S QQb NOR: ‘0’ if either of its inputs are ‘1’ ? ? hold 0 0 When a NOR has one input at ‘0’, it inverts the other input When R and S are 0

Latches-5 Nors with R=S=1 Re-examine the inputs R=1 and S=1: What does it mean to both set and reset at the same time? R-S latch says “both lose!”  Q and Qb both are 0 What happens when R=S=1 (Q=Qb=0) and R or S changes to 0? S changes to zero first  Reset wins (Q=0, Qb=1) R changes to zero first  Set wins (Q=1, Qb=0) Both change at the same time to R=S=0… R S Q Qb 1 1 When R=S=1 changes to R=S=0, we get an uncontrolled oscillation (unstable) Moral of the story: R=S=1 is bad  Make it an illegal input R S Q Qb 0 0

Latches-6 R-S Latch states SR’ S’R SR SR’ S’R+S’R’ == S’ S’R’+SR’ == R’ S’R’ SR+S’R’ SR’ S’R Q=0 Q=1 Q=0 Q=1 Q=0 R S QQ holdhold SR State: The current status of all memory elements. Changes to states occur only when inputs change All possible inputs must be “covered” by an arc out of each state

Latches-7 Using an R-S latch Design a system with two pushbuttons: Start and Stop Whenever Start is pushed, the signal Run will be asserted. Run should remain asserted until Stop is pushed. Start R S Run Stop GND +5 GND +5 R-S Latches are useful whenever a system should “remember” the last input

Latches-8 Switch Debouncing Out Ideal Out Actual Switch Depressed Switch Released Input GND +5 Out R S GND +5 Input GND

Latches-9 An enabled (gated) R-S latch R S Q Q R S Q Q Unclocked R-S Latch Clock Signal Output changes only when input changes, and enabled Level-sensitive R-S Latch R S Q Q R S En R S Q Q

Latches-10 Regular vs. Enabled R-S latches S R En Q (regular) Q (enabled) Enabled latch only changes when enable is asserted Reset Set Assume that latches have no time delay (ideal) R S Q Q En R S Q (enabled) R S Q Q R S Q (regular)

Latches-11 Clocking an enabled R-S Latch Clock (En) R Reset S Set Q A clocked R-S latch follows the R/S inputs when the clock is asserted. A clocked R-S latch stores the value when the clock goes low when the clock is not asserted. R S Q Q En Clock R S Q

Latches-12 D-Latches (gated) DQ C clk In a D-latch, the output follows the input when the clock is high. Clock D Q R S Q Q En D clk When the clock is low, the output remains what it was on the falling edge of the clock.

Latches-13 VHDL for D Latches (gated) LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY Dlatch IS PORT( D: INSTD_LOGIC; en : IN STD_LOGIC; Q : BUFFER STD_LOGIC); END Dlatch; ARCHITECTURE behavior OF Dlatch IS BEGIN PROCESS(D,en) BEGIN IF (en = ‘1’) THEN Q <= D; ELSE Q <= Q; END IF; END PROCESS; END behavior; Inputs: D and En Output: Q DQ en PROCESS list  State of latch can change due to a change in any of these values If enabled, Q  D If not enabled, Q keeps its old value Continuously monitors D and en looking for changes BUFFER instead of OUT: Can be used as an input within the ENTITY