© Chandu Visweswariah, 2004New Challenges in IC Design1 New Challenges in IC Design … with a focus on variability … SBCCI 2004 Panel Discussion Chandu.

Slides:



Advertisements
Similar presentations
Tunable Sensors for Process-Aware Voltage Scaling
Advertisements

Slide 1 Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed- Signal Circuits by Reusing Early-Stage Data Fa Wang*, Wangyang Zhang*,
VARIUS: A Model of Process Variation and Resulting Timing Errors for Microarchitects Sarangi et al Prateeksha Satyamoorthy CS
1 Cleared for Open Publication July 30, S-2144 P148/MAPLD 2004 Rea MAPLD 148:"Is Scaling the Correct Approach for Radiation Hardened Conversions.
Lecture 11: Sequential Circuit Design. CMOS VLSI DesignCMOS VLSI Design 4th Ed. 11: Sequential Circuits2 Outline  Sequencing  Sequencing Element Design.
Keeping Hot Chips Cool Ruchir Puri, Leon Stok, Subhrajit Bhattacharya IBM T.J. Watson Research Center Yorktown Heights, NY Circuits R-US.
Power Reduction Techniques For Microprocessor Systems
On the Need for Statistical Timing Analysis Farid N. Najm University of Toronto
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 10, 2013 Statistical Static Timing Analysis.
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
Vanderbilt University Vibro-Acoustics Laboratory 1 Decentralized Structural Acoustic Control of a Launch Vehicle Payload Fairing Kenneth D Frampton Dept.
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
Clock Design Adopted from David Harris of Harvey Mudd College.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 22, 2009 Statistical Static Timing Analysis.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Low Power Design for Wireless Sensor Networks Aki Happonen.
University of Michigan Advanced Computer Architecture Lab. March 21, Key PED Challenges David Blaauw University of Michigan
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 14: March 19, 2008 Statistical Static Timing Analysis.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
Focus group: Statistical synthesis. Top reasons to go for statistical Often cited - worst case is way off - exact SI and IR drop analysis is too complex.
EE141 Project Phase 3 Name 1, Name 2 Key Results: Worst case process corner: Sense amp offset voltage: Worst case propagation delay: Nominal case propagation.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Alpha Goal: very fast multiprocessor systems, highly scalable Main trick is high-bandwidth, low-latency data access. How to do it, how to do it?
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
1 EE244 Project Your Title EE244 – Fall 2000 Name 1 Name 2.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Digital Circuit Implementation. Wafers and Chips  Integrated circuit (IC) chips are manufactured on silicon wafers  Transistors are placed on the wafers.
© Chandu Visweswariah, 2004Statistical Analysis and Design: From Picoseconds to Probabilities1 of 80 Statistical Analysis and Design: From Picoseconds.
Impromptu Data Extraction and Analysis Data Mining and Analytics Framework for VLSI Designs Sandeep P
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
Chalmers University of Technology FlexSoC Seminar Series – Page 1 Power Estimation FlexSoc Seminar Series – Daniel Eckerbert
Power Reduction for FPGA using Multiple Vdd/Vth
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
EE 5900 Advanced Algorithms for Robust VLSI CAD, Spring 2009 Static Timing Analysis and Gate Sizing.
SiLab presentation on Reliable Computing Combinational Logic Soft Error Analysis and Protection Ali Ahmadi May 2008.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
1 On Class-based Isolation of UDP, Short-lived and Long-lived TCP Flows by Selma Yilmaz Ibrahim Matta Computer Science Department Boston University.
A 240ps 64b Carry-Lookahead Adder in 90nm CMOS Faezeh Montazeri Advanced VLSI Course Presentation University of Tehran December.
Why Low Power Testing? 台大電子所 李建模.
XIAOYU HU AANCHAL GUPTA Multi Threshold Technique for High Speed and Low Power Consumption CMOS Circuits.
ASIC, Customer-Owned Tooling, and Processor Design Nancy Nettleton Manager, VLSI ASIC Device Engineering April 2000 Design Style Myths That Lead EDA Astray.
Guy Lemieux, Mehdi Alimadadi, Samad Sheikhaei, Shahriar Mirabbasi University of British Columbia, Canada Patrick Palmer University of Cambridge, UK SoC.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Optimization Challenges in Transistor Sizing Chandu Visweswariah IBM Thomas J. Watson Research Center Yorktown Heights, NY Acknowledgments The entire.
Inferential Statistics A Closer Look. Analyze Phase2 Nature of Inference in·fer·ence (n.) “The act or process of deriving logical conclusions from premises.
Outline Introduction: BTI Aging and AVS Signoff Problem
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 24: April 22, 2015 Statistical Static Timing Analysis.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
Is Statistical Timing Statistically Significant? DAC 2004, Panel Discussion, Session 41 Chandu Visweswariah IBM Thomas J. Watson Research Center Yorktown.
EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University
Power Integrity Test and Verification CK Cheng UC San Diego 1.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Thomas J. Watson Research Center © 2006 IBM Corporation Statistical Timing in a Practical 65 nm Robust Design Flow Chandu Visweswariah.
FaridehShiran Department of Electronics Carleton University, Ottawa, ON, Canada SmartReflex Power and Performance Management Technologies.
CS203 – Advanced Computer Architecture
CUHK Test and Fault-Tolerance for Timing Error Presenter: Feng Yuan.
Unified Adaptivity Optimization of Clock and Logic Signals Shiyan Hu and Jiang Hu Dept of Electrical and Computer Engineering Texas A&M University.
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
CS203 – Advanced Computer Architecture
ITRS Design.
Yiyu Shi*, Wei Yao*, Jinjun Xiong+ and Lei He*
Post-Silicon Calibration for Large-Volume Products
Statistical Analysis and Design: From Picoseconds to Probabilities
New Challenges in IC Design … with a focus on variability …
Is Statistical Timing Statistically Significant?
Presentation transcript:

© Chandu Visweswariah, 2004New Challenges in IC Design1 New Challenges in IC Design … with a focus on variability … SBCCI 2004 Panel Discussion Chandu Visweswariah Research Staff Member IBM Thomas J. Watson Research Center Yorktown Heights, NY

© Chandu Visweswariah, 2004New Challenges in IC Design2 Where will performance come from? Technology scaling –will require ever-more exotic materials and tricks –will yield diminishing performance enhancements Performance will come from –multiple processors/cores –packaging options (3D ICs, silicon carrier) –better memory hierarchies –better tools –better compilers

© Chandu Visweswariah, 2004New Challenges in IC Design3 Outline Challenge #1: migrate from corner-based timing to statistical timing Challenge #2: adopt robust design methodologies and practices Challenge #3: simultaneous timing and (leakage) power sign-off Challenge #4: stop targeting worst-case design; rather, design adaptive circuits that can recover from low-probability problems

© Chandu Visweswariah, 2004New Challenges in IC Design4 The march of technology Performance Technology generation Is this worth a huge investment?

© Chandu Visweswariah, 2004New Challenges in IC Design5 1: Corner-based vs. statistical timing

© Chandu Visweswariah, 2004New Challenges in IC Design6 Benefit of statistical timing n = # independent sources of variation (say 9)  = total variability in critical path delay (say 5%) Fractional increase in frequency with a 3  sign-off instead of 3  n sign-off Assumes sources of variation are roughly equally significant

© Chandu Visweswariah, 2004New Challenges in IC Design7 Corner-based vs. statistical

© Chandu Visweswariah, 2004New Challenges in IC Design8 2: Robustness Reduce sensitivity of performance to variations; examples: –N/P mistracking: avoid too many tall N or tall P stacks in critical paths –Gate/wire mistracking: use equal fractions of gates and wires in data and clock paths –ACLV/OCV: use compact layouts so that capturing and launching paths are close by –V t mistracking: use equal fractions of low V t transistors in critical data and clock paths

© Chandu Visweswariah, 2004New Challenges in IC Design9 Q&A Q: Where will the models come from? A: IDMs have an advantage Q: What will the models look like? A: Analytic forms are more conducive than table-based delay modeling formats Q: Can timers handle the capacity? A: Yes; 2.1M gate design timed in 69 minutes with 10.9 GB memory; 1.1M gate design timed in 110 minutes (dominated by load time) with 4.3 GB memory Q: How will it be phased in? A: (a) true 3  sign-off (b) implicit robustness credit (c) explicit robustness targeting (d) at-speed test for yield/speed tradeoffs

© Chandu Visweswariah, 2004New Challenges in IC Design10 BEOL early-mode variability on ASIC part Pessimism reduction -3  slack: -162 ps Exhaustive corner analysis: -225 ps *Early mode; variability in 7 metal levels

© Chandu Visweswariah, 2004New Challenges in IC Design11 Too leaky 3: Simultaneous power/timing sign-off Too slow Probability VtVt Good chips

© Chandu Visweswariah, 2004New Challenges in IC Design12 4: Adaptive circuits Key idea: we are penalizing performance by covering low-probability problems Instead, recover from the low-probability problems adaptively –sensor circuits: to sense temperature, a late signal, a wrong logical value, V t, a mistracking situation –actuator circuits: to change back-gate bias, change V dd, repeat a computation, gate the clock, throttle instruction issue Adaptive circuits protect against static variability, dynamic variability and single-event upsets A wealth of design, CAD, methodology and verification problems suggest themselves!

© Chandu Visweswariah, 2004New Challenges in IC Design13 Conclusion Variability is causing a number of problems –leakage power –timing closure –excessive pessimism –worst-case design kills scaling benefit Paradigm shifts are periods of opportunity: One person’s headache is another’s windfall!