SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015.

Slides:



Advertisements
Similar presentations
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 31/22alt1 Lecture 31 System Test (Lecture 22alt in the Alternative Sequence) n Definition n Functional.
Advertisements

VLSI-SoC, Atlanta J. Dalmasso, ML Flottes, B. Rouzeyre CNRS/ Univ. Montpellier II France 1 17/10/2007.
Apr. 20, 2001VLSI Test: Bushnell-Agrawal/Lecture 311 Lecture 31 System Test n Definition n Functional test n Diagnostic test  Fault dictionary  Diagnostic.
Modular SOC Testing With Reduced Wrapper Count Qiang Xu; Nicolici, N., “Modular SOC testing with reduced wrapper count”, IEEE Transactions on Computer-Aided.
Praveen Venkataramani Suraj Sindia Vishwani D. Agrawal FINDING BEST VOLTAGE AND FREQUENCY TO SHORTEN POWER CONSTRAINED TEST TIME 4/29/ ST IEEE VLSI.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Dynamic Scan Clock Control In BIST Circuits Priyadharshini Shanmugasundaram Vishwani D. Agrawal
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
Externally Tested Scan Circuit with Built-In Activity Monitor and Adaptive Test Clock Priyadharshini Shanmugasundaram Vishwani D. Agrawal.
11/17/05ELEC / Lecture 201 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Core-based SoCs Testing Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping University Julien Pouget Embedded Systems Laboratory (ESLAB) Linköping.
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Priyadharshini Shanmugasundaram Vishwani D. Agrawal DYNAMIC SCAN CLOCK CONTROL FOR TEST TIME REDUCTION MAINTAINING.
Fall 2006, Nov. 30 ELEC / Lecture 12 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Test Power Vishwani D.
Spring 07, Jan 25 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 VLSI System DFT Vishwani D. Agrawal James J. Danaher.
An Efficient Test Data Reduction Technique Through Dynamic Pattern Mixing Across Multiple Fault Models 2011 VLSI Test Symposium S. Alampally 1, R. T. Venkatesh.
HIGH-SPEED VLSI TESTING WITH SLOW TEST EQUIPMENT Vishwani D. Agrawal Agere Systems Processor Architectures and Compilers Research Murray Hill, NJ
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
IC-SOC STEAC: An SOC Test Integration Platform Cheng-Wen Wu.
Jan. 6, 2006VLSI Design '061 On the Size and Generation of Minimal N-Detection Tests Kalyana R. Kantipudi Vishwani D. Agrawal Department of Electrical.
Independence Fault Collapsing and Concurrent Test Generation Thesis Advisor: Vishwani D. Agrawal Committee Members: Victor P. Nelson, Charles E. Stroud.
Thermal-Aware SoC Test Scheduling with Test Set Partitioning and Interleaving Zhiyuan He 1, Zebo Peng 1, Petru Eles 1 Paul Rosinger 2, Bashir M. Al-Hashimi.
Architectural Power Management for High Leakage Technologies Department of Electrical and Computer Engineering Auburn University, Auburn, AL /15/2011.
BIST vs. ATPG.
ECE 510 Brendan Crowley Paper Review October 31, 2006.
Threshold Voltage Assignment to Supply Voltage Islands in Core- based System-on-a-Chip Designs Project Proposal: Gall Gotfried Steven Beigelmacher 02/09/05.
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
By Praveen Venkataramani Committee Prof. Vishwani D. Agrawal (Advisor) Prof. Adit D. Singh Prof. Fa Foster Dai REDUCING ATE TEST TIME BY VOLTAGE AND FREQUENCY.
Power-Aware SoC Test Optimization through Dynamic Voltage and Frequency Scaling Vijay Sheshadri, Vishwani D. Agrawal, Prathima Agrawal Dept. of Electrical.
Finding Optimum Clock Frequencies for Aperiodic Test Master’s Thesis Defense Sindhu Gunasekar Dept. of ECE, Auburn University Advisory Committee: Dr. Vishwani.
Managing Performance and Efficiency of a Processor Advisor: Dr. Vishwani Agrawal Committee: Dr. Adit Singh and Dr. Victor Nelson Department of Electrical.
Adopting Multi-Valued Logic for Reduced Pin-Count Testing Baohu Li, Bei Zhang and Vishwani Agrawal Auburn University, ECE Dept., Auburn, AL 36849, USA.
Reporter: PCLee. Assertions in silicon help post-silicon debug by providing observability of internal properties within a system which are.
1 SOC Test Architecture Optimization for Signal Integrity Faults on Core-External Interconnects Qiang Xu and Yubin Zhang Krishnendu Chakrabarty The Chinese.
Presenter: Hong-Wei Zhuang On-Chip SOC Test Platform Design Based on IEEE 1500 Standard Very Large Scale Integration (VLSI) Systems, IEEE Transactions.
Robust Low Power VLSI ECE 7502 S2015 Analog and Mixed Signal Test ECE 7502 Class Discussion Christopher Lukas 5 th March 2015.
SoC TAM Design to Minimize Test Application Time Huiting Zhang Vishwani D. Agrawal May 12, North Atlantic Test Workshop.
Optimal Selection of ATE Frequencies for Test Time Reduction Using Aperiodic Clock Sindhu Gunasekar Vishwani D. Agrawal.
Using Cycle Efficiency as a System Designer Metric to Characterize an Embedded DSP and Compare Hard Core vs. Soft Core Advisor Dr. Vishwani D. Agrawal.
PRAVEEN VENKATARAMANI VISHWANI D. AGRAWAL Auburn University, Dept. of ECE Auburn, AL 36849, USA 26 th International.
1 System-on-Chip (SoC) Testing An Introduction and Overview of IEEE 1500 Standard Testability Method for Embedded Core-based ICs.
26 th International Conference on VLSI January 2013 Pune,India Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages Vijay.
Layout-Driven Test-Architecture Design and Optimization for 3D SoCs under Pre-Bond Test- Pin-Count Constraint Li Jiang 1, Qiang Xu 1, Krishnendu Chakrabarty.
Optimization of PHEV/EV Battery Charging Lawrence Wang CURENT YSP Presentations RM :00-11:25 1.
Reducing Test Application Time Through Test Data Mutation Encoding Sherief Reda and Alex Orailoglu Computer Science Engineering Dept. University of California,
A Test Time Theorem and Its Applications Praveen Venkataraman i Suraj Sindia Vishwani D. Agrawal
CAS 721 Course Project Implementing Branch and Bound, and Tabu search for combinatorial computing problem By Ho Fai Ko ( )
Wrapper/TAM Optimization1 System-on-Chip (SoC) Testing SoC Wrapper/TAM Design.
System-level power analysis and estimation September 20, 2006 Chong-Min Kyung.
Improving NoC-based Testing Through Compression Schemes Érika Cota 1 Julien Dalmasso 2 Marie-Lise Flottes 2 Bruno Rouzeyre 2 WNOC
TAM design and Test Data Compression for SoC Test Cost Reduction Julien DALMASSO, Marie-Lise FLOTTES, Bruno ROUZEYRE LIRMM, UMR5506 CNRS/Université Montpellier.
VLSI Design & Embedded Systems Conference January 2015 Bengaluru, India Few Good Frequencies for Power-Constrained Test Sindhu Gunasekar and Vishwani D.
Workload Clustering for Increasing Energy Savings on Embedded MPSoCs S. H. K. Narayanan, O. Ozturk, M. Kandemir, M. Karakoy.
November 25Asian Test Symposium 2008, Nov 24-27, Sapporo, Japan1 Sequential Circuit BIST Synthesis using Spectrum and Noise from ATPG Patterns Nitin Yogi.
1 Hardware Reliability Margining for the Dark Silicon Era Liangzhen Lai and Puneet Gupta Department of Electrical Engineering University of California,
ELEC 7770 Advanced VLSI Design Spring 2016 Introduction
Evaluating Register File Size
ELEC 7770 Advanced VLSI Design Spring 2014 Introduction
Power-Aware System-On-Chip Test Optimization
Optimization of PHEV/EV Battery Charging
ELEC 7770 Advanced VLSI Design Spring 2012 Introduction
ELEC 7770 Advanced VLSI Design Spring 2010 Introduction
Circuit Design Techniques for Low Power DSPs
A High Performance SoC: PkunityTM
A Primal-Dual Solution to Minimal Test Generation Problem
HIGH LEVEL SYNTHESIS.
Testing in the Fourth Dimension
Test Data Compression for Scan-Based Testing
A Low-Power Analog Bus for On-Chip Digital Communication
Presentation transcript:

SoC TAM Design to Minimize Test Application Time Advisor Dr. Vishwani D. Agrawal Committee Members Dr. Victor P. Nelson, Dr. Adit D. Singh Apr 9, 2015 Master’s Project Defense Huiting Zhang

Outline  Background  IEEE 1500 Overview  Hardware Constraint of SoC testing  MILP Formulation (session-less and session-based)  Benchmark Introduction  Results  Limitation, future work, and conclusion  References 2 Apr 9, 2015

Background 3  Whst is System On Chip -More of a System not a Chip -Large and Complex ~5-10 Million Gates ~1-64 MB memory -Many complex subsystems Processor Graphics/communications/ Memory Interface logic Analog Apr 9, 2015

Background 4. -Reduced Space, higher device integration -High performance -Low power -Hard to Design -Lack of flexibility -component testing needs access mechanism. -lower yields Apr 9, 2015  SoC Advantages:  SoC Disadvantages:

5  Testing of SoC Source – to apply test stimuli Sink – to capture test results TAM – test access mechanism to transport test & its results Wrapper – Interface between TAM and the embedded core IEEE 1500 Overview Apr 9, 2015

TAM Architecture  In this figure, Total TAM width of 7 is partitioned among three test buses.  TAM are modeled as TAM buses in this work.  The number of TAM correspond to the number of scan chains of each core, double the TAM wires reduce the test time of the core to half. Apr 9,

6 Rectangle Bin Packing Problem Apr 9, 2015

Hardware Constraint of SoC Testing  Hardware compatibility between cores of each SoC is given by benchmark[10], eg. {1,2,4} means module1, module2 and module 4 are compatible.  Cores on different Voltage Island are independent with each others, which Means they could operate at different Voltages at the same time.  Cores that share the same voltage island need to operate in the same voltage and frequency pair if they are to be scheduled concurrently. Apr 9,

Session-based and Sessionless Apr 9, How does the effect of these two differs in the benchmark?

10 MILP (Sessionless Test Scheduling)  Each pin choice corresponds to different TAM pins numbers Apr 9, 2015 This is the objective function of MILP

11 MILP (Sessionless Test Scheduling)  λL is the TAT of the longest possible test schedule Apr 9, 2015

12 t1 t2 When two tests overlaps MILP (Sessionless Test Scheduling) Apr 9, 2015

13 MILP (Sessionless Test Scheduling) Apr 9, 2015 t1 t2 Eg. P(t2,t1)+ P(t2,t3)+ p(t2)<=Pbound t3  Constant power model is used for simplicity, peak power is used to guarantee the accuracy, but somewhat make this design pessimistic

14  λp is the TAT of the longest possible test schedule MILP (Sessionless Test Scheduling) Apr 9, 2015

MILP (Sessionless Test Scheduling) Apr 9, t1 t2 Eg. W(t2,t1)+ W(t2,t3)+ W(t2)<=Wbound t3

MILP (Sessionless Test Scheduling) Apr 9,

MILP (Session-based Test Scheduling) 16 Apr 9, 2015  The decision variable ‘final’ contains 4 variables, which makes the session-based Test scheduling a very slow process

MILP (Session-based Test Scheduling) 17 Apr 9, 2015

MILP (Session-based Test Scheduling) 18 Apr 9, 2015  Overlap of tests in session-based algorithm means the start time of tests is the same

Experiment Setup 20 Apr 9, 2015

21 Results_case1  DVFS_N/DVFS_Y refer to scheduling Without/With DVFS  Same naming scheme applies to TAM_N/TAM_Y Apr 9, 2015

22 Results_case2 Apr 9, 2015

23 Results__case3 Apr 9, 2015

Results__case4  Reference work doesn’t consider hardware compatibility, Voltage Island and TAM bounds! 23 Apr 9, 2015 Compatibility file of d

25 Results__case5 WHY? Apr 9, 2015

 In this work, SoC hierarchy is not considered. In real SoC, TAM allocation between parent cell and children cell are more difficult.  ITC’02, the most universally adopted SoC so far, is incomplete. For more accurate test scheduling result, more details are needed.  Some faults are only detectable at certain voltage. 26 Limitation Apr 9, 2015

Future Work  Some schedules may require individual tests to be executed at one or more specific voltage/frequency values. The reason for this is that some faults are voltage/frequency dependent and can only be detected at such voltage/frequency values. Constraint below can be forced to solve this problem: ∀ pin ∈ C Assign(t, n, pin) = 1 for the required t and n. 27 Apr 9, 2015

Conclusion  TAM rescheduling could achieve maximally up to 61% reduction in TAT  DVFS and TAM rescheduling combined could result to TAT reduction range from 50.9% to 69.1%.  Session-based scheduling requires a lot more time to schedule than session-less scheduling, and we could also end up with the conclusion that session-less is no worse than session-based in terms of TAT result. 28 Apr 9, 2015

References [1]S. K. Millican and K. K. Saluja, “Formulating Optimal Test Scheduling Problem with Dynamic Voltage and Frequency Scaling,” in 22nd AsianTest Symposium (ATS), pp. 165– 170, IEEE, Nov [2]S. Millican and K. K. Saluja "Optimal Test Scheduling of Stacked Circuits Under Various Hardware and Power Constraints," International Conference on VLSI Design, January [3]V. Iyengar, K. Chakrabarty, and E. J. Marinissen, “Test access mechanism optimization, test scheduling, and tester data volume reduction for system-on-chip,”,2011 [4]V. Sheshardi, V. D. Agrawal, and P. Agrawal, “Optimal PowerConstrained SoC Test Schedules With Customizable Clock Rates,” in IEEE International SOC Conference (SOCC), (San Jose, CA), pp. 271–276, Oct [5]S. K. Millican and K. K. Saluja, “Formulating Optimal Test Scheduling Problem with Dynamic Voltage and Frequency Scaling,” in 22nd AsianTest Symposium (ATS), pp. 165– 170, IEEE, Nov [6] Sheshardi, V. D. Agrawal, and P. Agrawal, “Optimum Test Schedule for SoC with Specified Clock Frequencies and Supply Voltages,” in 26th International Conference on VLSI Design and International Conference on Embedded Systems, (Pune, India), pp. 267 – 272, Jan Apr 9, 2015

30 [7] V. Iyengar, K. Chakrabarty, and E. J. Marinissen, “Test access mechanism optimization, test scheduling, and tester data volume reduction for system-on-chip,” IEEE Transactions on Computers, vol. 52, pp. 1619– 1631, Dec [8] Sheshardi, V. D. Agrawal, and P. Agrawal, “power aware SoC test optimization through dynamic voltage and frequency scaling,” in 21st International conference on VLSI-SoC, , oct, 2013 [9]ITC’02 SoC Testing Benchmark, url: [10] 3DIC SoC Test Benchmark url: (based on ITC’02)url: References Apr 9, 2015

31 Questions? THANK YOU Apr 9, 2015