1 IN THE NAME GOD Advanced VLSI Class Presentation A 1.1GHz Charge Recovery Logic Insructor : Dr. Fakhrayi Presented by : Mahdiyeh Mehran.

Slides:



Advertisements
Similar presentations
Topics Electrical properties of static combinational gates:
Advertisements

Transmission Gate Based Circuits
COMP541 Transistors and all that… a brief overview
UNIT 4 BASIC CIRCUIT DESIGN CONCEPTS
A Resonant Clock Generator for Single-Phase Adiabatic Systems Conrad H. Ziesler Marios C. Papaefthymiou University of Michigan, Ann Arbor, MI Suhwan Kim.
Robust Low Power VLSI R obust L ow P ower VLSI Sub-threshold Sense Amplifier (SA) Compensation Using Auto-zeroing Circuitry 01/21/2014 Peter Beshay Department.
Elettronica T A.A Digital Integrated Circuits © Prentice Hall 2003 Inverter CMOS INVERTER.
Chapter 09 Advanced Techniques in CMOS Logic Circuits
1/42 Changkun Park Title Dual mode RF CMOS Power Amplifier with transformer for polar transmitters March. 26, 2007 Changkun Park Wave Embedded Integrated.
from High-frequency Clocks using DC-DC Converters
Mehdi Alimadadi, Samad Sheikhaei, Guy Lemieux, Shahriar Mirabbasi, Patrick Palmer University of British Columbia (UBC) Vancouver, BC, Canada A 3GHz Switching.
Introduction to CMOS VLSI Design Lecture 18: Design for Low Power David Harris Harvey Mudd College Spring 2004.
 C. H. Ziesler etal., 2003 Energy Recovering ASIC Design Advanced Computer Architecture Laboratory Department of Electrical Engineering and Computer Science.
10/27/05ELEC / Lecture 161 ELEC / (Fall 2005) Special Topics in Electrical Engineering Low-Power Design of Electronic Circuits.
Design, Verification, and Test of True Single-Phase Adiabatic Multiplier Suhwan Kim IBM Research Division T. J. Watson Research Center, Yorktown Heights.
VLSI Design EE213 VLSI DesignStephen Daniels 2003 R Vss R Vo Inverter : basic requirement for producing a complete range of Logic circuits.
Digital Integrated Circuits A Design Perspective
11/5/2004EE 42 fall 2004 lecture 281 Lecture #28 PMOS LAST TIME: NMOS Electrical Model – NMOS physical structure: W and L and d ox, TODAY: PMOS –Physical.
Die-Hard SRAM Design Using Per-Column Timing Tracking
 C. H. Ziesler et al., 2003 Energy Recovering Computers 1 Advanced Computer Architecture Laboratory University of Michigan Conrad H. Ziesler 1 Joohee.
Towards An Efficient Low Frequency Energy Recovery Dynamic Logic Sujay Phadke Advanced Computer Architecture Lab Department of Electrical Engineering and.
1 High Speed Fully Integrated On-Chip DC/DC Power Converter By Prabal Upadhyaya Sponsor: National Aeronautics and Space Administration.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 13: Power Dissipation Prof. Sherief Reda Division of Engineering, Brown.
Fall 2006: Dec. 5 ELEC / Lecture 13 1 ELEC / (Fall 2006) Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani.
Copyright Agrawal, 2007 ELEC6270 Fall 07, Lecture 11 1 ELEC 5270/6270 Fall 2007 Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani D. Agrawal.
The CMOS Inverter Slides adapted from:
Digital Integrated Circuits for Communication
1 EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
A Presentation on. Cascadable Adiabatic Logic Circuits
© Digital Integrated Circuits 2nd Sequential Circuits Digital Integrated Circuits A Design Perspective Designing Sequential Logic Circuits Jan M. Rabaey.
Low-Power CMOS Logic Circuit Topic Review 1 Part I: Overview (Shaw) Part II: (Vincent) Low-Power Design Through Voltage Scaling Estimation and Optimization.
Seoul National University CMOS for Power Device CMOS for Power Device 전파공학 연구실 노 영 우 Microwave Device Term Project.
Mehdi Sadi, Italo Armenti Design of a Near Threshold Low Power DLL for Multiphase Clock Generation and Frequency Multiplication.
EE415 VLSI Design DYNAMIC LOGIC [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology
A Linear Regulator with Fast Digital Control for Biasing of Integrated DC-DC Converters A-VLSI class presentation Adopted from isscc Presented by: Siamak.
Logic Synthesis for Low Power(CHAPTER 6) 6.1 Introduction 6.2 Power Estimation Techniques 6.3 Power Minimization Techniques 6.4 Summary.
Low Voltage Low Power constant - g m Rail to Rail CMOS Op-Amp with Overlapped Transition Regions ECEN /3/02 Vishwas Ganesan.
Chapter 07 Electronic Analysis of CMOS Logic Gates
Prof. Joongho Choi CMOS CLOCK-RELATED CIRCUIT DESIGN Integrated Circuits Spring 2001 Dept. of ECE University of Seoul.
VCO Design Z. Dilli, Mar VCO Design Adapted from Ryan J. Kier, Low Power PLL Building Blocks, Ph.D. Dissertation, U. of Utah, 2010.
A New RF CMOS Gilbert Mixer With Improved Noise Figure and Linearity Yoon, J.; Kim, H.; Park, C.; Yang, J.; Song, H.; Lee, S.; Kim, B.; Microwave Theory.
Modern VLSI Design 2e: Chapter 3 Copyright  1998 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Power Management for Nanopower Sensor Applications Michael Seeman EE 241 Final Project Spring 2005 UC Berkeley.
Adiabatic Logic as Low-Power Design Technique Presented by: Muaayad Al-Mosawy Presented to: Dr. Maitham Shams Mar. 02, 2005.
Guy Lemieux, Mehdi Alimadadi, Samad Sheikhaei, Shahriar Mirabbasi University of British Columbia, Canada Patrick Palmer University of Cambridge, UK SoC.
Caltech CS184 Winter DeHon 1 CS184: Computer Architecture (Structure and Organization) Day 7: January 21, 2005 Energy and Power.
An Ultra-low Voltage UWB CMOS Low Noise Amplifier Presenter: Chun-Han Hou ( 侯 鈞 瀚 ) 1 Yueh-Hua Yu, Yi-Jan Emery Chen, and Deukhyoun Heo* Department of.
© Digital Integrated Circuits 2nd Inverter EE5900 Advanced Algorithms for Robust VLSI CAD The Inverter Dr. Shiyan Hu Office: EERC 731 Adapted.
© Digital Integrated Circuits 2nd Inverter Digital Integrated Circuits A Design Perspective The Inverter Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Bi-CMOS Prakash B.
Rakshith Venkatesh 14/27/2009. What is an RF Low Noise Amplifier? The low-noise amplifier (LNA) is a special type of amplifier used in the receiver side.
Adiabatic Circuits Mohammad Sharifkhani. Introduction Applying slow input slopes reduces E below CV2 Useful for driving large capacitors (Buffers) Power.
FPGA-Based System Design: Chapter 2 Copyright  2004 Prentice Hall PTR Topics n Logic gate delay. n Logic gate power consumption. n Driving large loads.
Course: High-Speed and Low- Power VLSI (97.575) Professor: Maitham Shams Presentation: Presentation: True Single- Phase Adiabatic Circuitry By Ehssan.
Modern VLSI Design 3e: Chapter 3 Copyright  1998, 2002 Prentice Hall PTR Topics n Electrical properties of static combinational gates: –transfer characteristics;
Copyright Agrawal, 2007ELEC6270 Spring 13, Lecture 101 ELEC 5270/6270 Spring 2013 Low-Power Design of Electronic Circuits Adiabatic Logic Vishwani D. Agrawal.
Seok-jae, Lee VLSI Signal Processing Lab. Korea University
Dynamic Logic.
EE141 Combinational Circuits 1 Chapter 6 (I) Designing Combinational Logic Circuits Dynamic CMOS LogicDynamic CMOS Logic V1.0 5/4/2003.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
2. CMOS Op-amp설계 (1).
M. Atef, Hong Chen, and H. Zimmermann Vienna University of Technology
Adiabatic Technique for Energy Efficient Logic Circuits Design
CMOS OUTLINE » Fan-out » Propagation delay » CMOS power consumption.
THE CMOS INVERTER.
OUTLINE » Fan-out » Propagation delay » CMOS power consumption
Vishwani D. Agrawal James J. Danaher Professor
Power and Heat Power Power dissipation in CMOS logic arises from the following sources: Dynamic power due to switching current from charging and discharging.
Presentation transcript:

1 IN THE NAME GOD Advanced VLSI Class Presentation A 1.1GHz Charge Recovery Logic Insructor : Dr. Fakhrayi Presented by : Mahdiyeh Mehran

2 Adopted From: A 1.1GHz Charge Recovery Logic Visvesh S. Sathe Juang-Ying Chueh Marios C. Papaefthymiou University of Michigan, Ann Arbor, USA

3 Contributions First ever demonstration of fully integrated charge- recovery chip in 0.13  m CMOS at GHz clock rates –Boost Logic : Dynamic charge-recovery circuit family Chain of test gates (1600 gates total) Integrated inductor and clock generator Resonant operation at 850MHz, 1.3V Functional at 1.1GHz, 1.4V Energy recovery rate at resonance = 60%

4 Outline Charge Recovery – Brief overview –Basic Principles Boost Logic structure Boost Logic operation Boost Logic test chip Chip measurement results

5 Brief Overview of Charge Recovery Ref.[1] Gradual transition of power supply (Power-Clock). Supply must enable recovery of charge. Inductor used to resonate power clock. V in VCVC v time I T VCVC + - V in I  CC R

6 Outline Charge Recovery – Brief overview –Basic Principles Boost Logic structure Boost Logic operation Boost Logic test chip Chip measurement results

7 VC = Vdd’ – Vss’ = Vth Vdd’ = (Vdd + Vth)/2 Vss’ = (Vdd - Vth)/2

8 Boost Logic: Hybrid Charge Recovery Ref.[1 ] Two-stage operation : Logic and Boost Logic Stage performs logical evaluation Boost Stage takes output nodes to full rail. out

9 Boost Logic: Hybrid Charge Recovery Ref.[1] Two-stage operation : Logic and Boost Logic Stage performs logical evaluation Boost Stage takes output nodes to full rail.

10 Boost Logic Structure Ref.[1]

11 Outline Charge Recovery – Brief overview –Basic Principles Boost Logic structure Boost Logic operation Boost Logic test chip Chip measurement results

12 Boost Logic Inverter Ref.[1]

13 Boost Logic Operation Ref.[1] Boost Stage deactivated – all 4 devices in cutoff. Clocked transistors turn on, enabling evaluation. Logic stage drives output nodes to conventional rails t (s) 0.6 Logic Stage Drives Outputs   out

t (s) 0.6 Both Stages Tri-stated Boost Logic Operation Ref.[1] With  = V ss ’ and  = V dd ’ clocked transistors turn off. Boost Stage remains tri-stated from output. Pre-resolved output nodes provided to Boost Stage.  

15 t (s) Boost Stage Amplifies Outputs Boost Logic Operation Ref.[1] As  crosses V dd ’ (V ss ’ ), Boost stage turns on. Transistors M2 and M3 turn on. Outputs track power clock.  out 

t (s) 0.6 Boost Stage Charge Recovery Boost Logic Operation Ref.[1] As  moves toward V ss (V dd ) –Transistors M2 and M3 turn on. –Charge in load capacitance returns to resonant clock. As V(out) – V(out) ≈ V th, all 4 devices are in cutoff.  out 

17 Cascade Simulation Ref.[1] Logic cascaded with alternate clock phases. V gs ’ < 0 in logic evaluation trees when off. Low V th devices desirable in logic evaluation trees. LogicBoost (V) t (s)  out in 0.5n1n0n  i1 i0

18 Outline Charge Recovery – Brief overview –Basic Principles –Previous work Issues with previous charge recovery logic Boost Logic structure Boost Logic operation Boost Logic test chip Chip measurement results

19 Boost Logic Test Chip Ref.[1] Oscillation driven by reference clock. Programmable clock generator –Variable Duty Cycle 0%<D<50% –Variable Switch Width 0<W<450µm

20 Boost Logic gate chains Clock generator switches Programmable Schmitt triggers Boost Logic Test Chip : Die Shot Capacitance per phase = 29pF Inductance = 2.4nH (2 layers, Cu, 0.7µm thick)

21 Outline Charge Recovery – Brief overview –Basic Principles –Previous work Issues with previous charge recovery logic Boost Logic structure Boost Logic operation Boost Logic test chip Chip measurement results

22 Energy/Current Measurements Ref.[1] Energy measured for all possible W, D, V dd and V c At resonance (850MHz): –Energy dissipation in V dd = 26pJ [40% of CV 2 ] –Energy dissipation in V C = 4pJ [V C = 0.45V] Energy Dissipation per Cycle (pJ) Current (mA) Operating Frequency (GHz) Energy Current Resonant Frequency

23 Conclusion First ever charge-recovery test chip to exceed 1GHz clock rate –Boost Logic : Dynamic charge-recovery circuit family Fully-integrated clock generator and inductor in 0.13  m CMOS Functional up to 1.1GHz Resonant frequency = 850MHz Energy recovery rate at resonance = 60%

24 References [1] V. S. Sathe, et al., “A 1.1GHz Charge-Recovery Logic,”ISSCC, pp , Feb., [2] V. S. Sathe, et al., “A GHz-Class Charge Recovery Logic,” ISLPED, pp.91-94, Aug., [3] S. Kim, et al., “True Single-Phase Adiabatic Circuitry,” Transactions on VLSI Systems, pp , Feb., [4] D. Suvakovic, C. Salama, “Two Phase Non-Overlapping Clock Adiabatic Differential Cascode Voltage Switch Logic (ADCVSL),” ISSCC Dig.Tech.Papers, pp , Feb., [5] D. Maksimovic, V. Oklobdzija, B. Nikolic, and K. Current “Clocked CMOS Adiabatic Logic with Integrated Single-Phase Power-Clock Supply,”Transactions on VLSI Systems, Aug., 2000.

25