Ceg3420 l7 Multiply 1 Fall 1998 © U.C.B. CEG3420 Computer Design Lecture 7: VHDL, Multiply, Shift.

Slides:



Advertisements
Similar presentations
Verilog Intro: Part 1.
Advertisements

Chapter 9 Computer Design Basics. 9-2 Datapaths Reminding A digital system (or a simple computer) contains datapath unit and control unit. Datapath: A.
Datorteknik IntegerMulDiv bild 1 MIPS mul/div instructions Multiply: mult $2,$3Hi, Lo = $2 x $3;64-bit signed product Multiply unsigned: multu$2,$3Hi,
Datorteknik DigitalCircuits bild 1 Combinational circuits Changes at inputs propagate at logic speed to outputs Not clocked No internal state (memoryless)
Computer Architecture ECE 361 Lecture 6: ALU Design
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE Computer Organization Lecture 8 - Multiplication.
Chapter 3 Arithmetic for Computers. Multiplication More complicated than addition accomplished via shifting and addition More time and more area Let's.
EECC550 - Shaaban #1 Lec # 7 Spring MIPS Integer ALU Requirements Add, AddU, Sub, SubU, AddI, AddIU:  2’s complement adder/sub with overflow.
331 W05.1Fall :332:331 Computer Architecture and Assembly Language Fall 2003 Week 5 [Adapted from Dave Patterson’s UCB CS152 slides and Mary Jane.
CS152 / Kubiatowicz Lec5.1 2/1/01©UCB Spring 2001 CS152 Computer Architecture and Engineering Lecture 5 VHDL, Multiply, Shift September 13, 1999 John Kubiatowicz.
361 div.1 Computer Architecture ECE 361 Lecture 7: ALU Design : Division.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
CS152 / Kubiatowicz Lec5.1 2/8/99©UCB Spring 1999 CS152 Computer Architecture and Engineering Lecture 5 VHDL, Multiply, Shift Feb 8, 1999 John Kubiatowicz.
Integer Multiplication and Division ICS 233 Computer Architecture and Assembly Language Dr. Aiman El-Maleh College of Computer Sciences and Engineering.
Computer Organization Multiplication and Division Feb 2005 Reading: Portions of these slides are derived from: Textbook figures © 1998 Morgan Kaufmann.
ECE 232 L4 perform.1 Adapted from Patterson 97 ©UCBCopyright 1998 Morgan Kaufmann Publishers ECE 232 Hardware Organization and Design Lecture 4 Performance,
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
CS151B Computer Systems Architecture Winter 2002 TuTh 2-4pm BH Instructor: Prof. Jason Cong Lecture 6 – ALU Design II: Multiply, Divide, and Floating.
Integer Multiplication and Division
CS141-L3-1Tarun Soni, Summer ‘03 More ALUs and floating point numbers  Today: The rest of chap 4:  Multiplication, Division and Floating point numbers.
Contemporary Logic Design Arithmetic Circuits © R.H. Katz Lecture #24: Arithmetic Circuits -1 Arithmetic Circuits (Part II) Randy H. Katz University of.
Chapter Four Arithmetic and Logic Unit
331 W05.1Spring :332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152.
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
Week 7.1Spring :332:331 Computer Architecture and Assembly Language Spring 2005 Week 7 [Adapted from Dave Patterson’s UCB CS152 slides and Mary.
ECE 232 L9.Mult.1 Adapted from Patterson 97 ©UCBCopyright 1998 Morgan Kaufmann Publishers ECE 232 Hardware Organization and Design Lecture 9 Computer Arithmetic.
CS152 Lec6.1 CS152 Computer Architecture and Engineering Lecture 6 VHDL, Multiply, Shift.
ALU S.Rawat. ALU ALU an Engine for any Computational Silicon. We have different units ALU/FPUs for Integers/Floats respectively. Mainly Decided based.
CS 152 Lec 5.1 CS 152: Computer Architecture and Engineering Lecture 5 Hardware Description Languages, Multiple and Divide Randy H. Katz, Instructor Satrajit.
Chapter 6-2 Multiplier Multiplier Next Lecture Divider
ECE232: Hardware Organization and Design
CS 152 L02 Verilog & Multiply Review (1)Patterson Fall 2003 © UCB Dave Patterson ( www-inst.eecs.berkeley.edu/~cs152/
King Fahd University of Petroleum and Minerals King Fahd University of Petroleum and Minerals Computer Engineering Department Computer Engineering Department.
Lecture 6: Multiply, Shift, and Divide
CS152 Computer Architecture and Engineering Lecture 6 Verilog (finish) Multiply, Divide, Shift February 11, 2004 John Kubiatowicz (
EKT221 ELECTRONICS DIGITAL II CHAPTER 4: Computer Design Basics
Chap 7. Register Transfers and Datapaths. 7.1 Datapaths and Operations Two types of modules of digital systems –Datapath perform data-processing operations.
Chapter 4 Computer Design Basics. Chapter Overview Part 1 – Datapaths  Introduction  Datapath Example  Arithmetic Logic Unit (ALU)  Shifter  Datapath.
CS152 / Kubiatowicz Lec5.1 9/14/01©UCB Fall 2001 CS152 Computer Architecture and Engineering Lecture 5 VHDL, Multiply, Shift September 14, 2001 John Kubiatowicz.
Cs 152 l6 Multiply 1 DAP Fa 97 © U.C.B. ECE Computer Architecture Lecture Notes Multiply, Shift, Divide Shantanu Dutt Univ. of Illinois at.
05/03/2009CA&O Lecture 8,9,10 By Engr. Umbreen sabir1 Computer Arithmetic Computer Engineering Department.
Integer Multiplication and Division
Fall 2004EE 3563 Digital Systems Design EE 3563 VHDL – Basic Language Elements  Identifiers: –basic identifier: composed of a sequence of one or more.
CDA 3101 Fall 2013 Introduction to Computer Organization The Arithmetic Logic Unit (ALU) and MIPS ALU Support 20 September 2013.
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Arithmetic.1 2/15 Computer Arithmetic ALU Performance is critical ( App. C5, C6 4 th ed.)
Integer Multiplication and Division ICS 233 Computer Architecture and Assembly Language Dr. Aiman El-Maleh College of Computer Sciences and Engineering.
Csci 136 Computer Architecture II – Multiplication and Division
EKT 221 : Chapter 4 Computer Design Basics
MicroComputer Engineering DigitalCircuits slide 1 Combinational circuits Changes at inputs propagate at logic speed to outputs Not clocked No internal.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, February 25 CEC 220 Digital Circuit Design Slide 1 of 19.
CS152 / Kubiatowicz Lec6.1 2/12/03©UCB Spring 2003 CS152 Computer Architecture and Engineering Lecture 6 Multiply, Divide, Shift February 12, 2003 John.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
CMPUT Computer Organization and Architecture I1 CMPUT229 - Fall 2003 Topic6: Logic, Multiply and Divide Operations José Nelson Amaral.
Integer Multiplication and Division COE 301 Computer Organization Dr. Muhamed Mudawar College of Computer Sciences and Engineering King Fahd University.
Verilog Intro: Part 1. Hardware Description Languages A Hardware Description Language (HDL) is a language used to describe a digital system, for example,
EKT 221 : Digital 2 Computer Design Basics Date : Lecture : 2 hrs.
CEC 220 Digital Circuit Design Introduction to VHDL Wed, Oct 14 CEC 220 Digital Circuit Design Slide 1 of 19.
By Wannarat Computer System Design Lecture 3 Wannarat Suntiamorntut.
Integer Multiplication and Division ICS 233 Computer Architecture & Assembly Language Prof. Muhamed Mudawar College of Computer Sciences and Engineering.
Computer System Design Lecture 3
Integer Multiplication and Division
MIPS mul/div instructions
Chap 7. Register Transfers and Datapaths
Multiplication & Division
CDA 3101 Spring 2016 Introduction to Computer Organization
CS352H: Computer Systems Architecture
Topic 3c Integer Multiply and Divide
CDA 3101 Summer 2007 Introduction to Computer Organization
Computer Architecture EECS 361 Lecture 6: ALU Design
Presentation transcript:

ceg3420 l7 Multiply 1 Fall 1998 © U.C.B. CEG3420 Computer Design Lecture 7: VHDL, Multiply, Shift

ceg3420 l7 Multiply 2 Fall 1998 © U.C.B. Outline °Intro to VHDL °Designing a Multiplier °Booth’s algorithm °Shifters

ceg3420 l7 Multiply 3 Fall 1998 © U.C.B. Representation Languages Hardware Representation Languages: Block Diagrams: FUs, Registers, & Dataflows Register Transfer Diagrams: Choice of busses to connect FUs, Regs Flowcharts State Diagrams Fifth Representation "Language": Hardware Description Languages E.G., ISP' VHDL Descriptions in these languages can be used as input to simulation systems synthesis systems Two different ways to describe sequencing & microoperations hw modules described like programs with i/o ports, internal state, & parallel execution of assignment statements "software breadboard" generate hw from high level description "To Design is to Represent"

ceg3420 l7 Multiply 4 Fall 1998 © U.C.B. Simulation Before Construction "Physical Breadboarding" discrete components/lower scale integration preceeds actual construction of prototype verify initial design concept No longer possible as designs reach higher levels of integration! Simulation Before Construction high level constructs implies faster to construct play "what if" more easily limited performance accuracy, however

ceg3420 l7 Multiply 5 Fall 1998 © U.C.B. Levels of Description Architectural Simulation Functional/Behavioral Register Transfer Logic Circuit models programmer's view at a high level; written in your favorite programming language more detailed model, like the block diagram view commitment to datapath FUs, registers, busses; register xfer operations are clock phase accurate model is in terms of logic gates; higher level MSI functions described in terms of these electrical behavior; accurate waveforms Schematic capture + logic simulation package like Powerview Special languages + simulation systems for describing the inherent parallel activity in hardware Less Abstract More Accurate Slower Simulation

ceg3420 l7 Multiply 6 Fall 1998 © U.C.B. VHDL(VHSIC Hardware Description Language) °Goals: Support design, documentation, and simulation of hardware Digital system level to gate level “Technology Insertion” °Concepts: Design entity Time-based execution model. Design Entity == Hardware Component Interface == External Characteristics Architecture (Body ) == Internal Behavior or Structure

ceg3420 l7 Multiply 7 Fall 1998 © U.C.B. Interface °Externally Visible Characteristics Ports: channels of communication -(inputs, outputs, clocks, control) Generic Parameters: define class of components -(timing characteristics, size, fan-out) --- determined where instantiated or by default °Internally Visible Characteristics Declarations: Assertions: constraints on all alternative bodies (i.e., implmentations) Interface Architecture view to other modules details of implementation

ceg3420 l7 Multiply 8 Fall 1998 © U.C.B. VHDL Example: nand gate °Entity describes interface °Architecture give behavior, i.e., function °y is a signal, not a variable it changes when ever the inputs change drive a signal NAND process is in an infinite loop °VLBit is 0, 1, X or Z ENTITY nand is PORT (a,b: IN VLBIT; y: OUT VLBIT) END nand ARCHITECTURE behavioral OF nand is BEGIN y < = a NAND b; END behavioral;

ceg3420 l7 Multiply 9 Fall 1998 © U.C.B. Modeling Delays °Model temporal, as well as functional behavior, with delays in signal statements; Time is one difference from programming languages °y changes 1 ns after a or b changes °This fixed delay is inflexible hard to reflect changes in technology ENTITY nand is PORT (a,b: IN VLBIT; y: OUT VLBIT) END nand ARCHITECTURE behavioral OF nand is BEGIN y < = a NAND b after 1 ns; END behavioral;

ceg3420 l7 Multiply 10 Fall 1998 © U.C.B. Generic Parameters °Generic parameters provide default values may be overridden on each instance attach value to symbol as attribute °Separate functional and temporal models °How would you describe fix-delay + slope * load model? ENTITY nand is GENERIC (delay: TIME := 1ns); PORT (a,b: IN VLBIT; y: OUT VLBIT) END nand ARCHITECTURE behavioral OF nand is BEGIN y <= a NAND b AFTER delay; END behavioral;

ceg3420 l7 Multiply 11 Fall 1998 © U.C.B. Bit-vector data type VLBIT_1D ( 31 downto 0) is equivalent to powerview 32-bit bus Can convert it to a 32 bit integer ENTITY nand32 is PORT (a,b: IN VLBIT_1D ( 31 downto 0); y: OUT VLBIT_1D ( 31 downto 0) END nand32 ARCHITECTURE behavioral OF nand32 is BEGIN y < = a NAND b; END behavioral;

ceg3420 l7 Multiply 12 Fall 1998 © U.C.B. Arithmetic Operations °addum (see VHDL ref. appendix C) adds two n-bit vectors to produce an n+1 bit vector except when n = 32! ENTITY add32 is PORT (a,b: IN VLBIT_1D ( 31 downto 0); y: OUT VLBIT_1D ( 31 downto 0) END add32 ARCHITECTURE behavioral OF add32 is BEGIN y < = addum(a, b) ; END behavioral;

ceg3420 l7 Multiply 13 Fall 1998 © U.C.B. Control Constructs °Process fires whenever its “sensitivity list” changes °Evaluates the body sequentially °VHDL provides case statements as well entity MUX32X2 is generic (output_delay : TIME := 4 ns); port(A,B:in vlbit_1d(31 downto 0); DOUT:out vlbit_1d(31 downto 0); SEL:in vlbit); end MUX32X2; architecture behavior of MUX32X2 is begin mux32x2_process: process(A, B, SEL) begin if (vlb2int(SEL) = 0) then DOUT <= A after output_delay; else DOUT <= B after output_delay; end if; end process; end behavior;

ceg3420 l7 Multiply 14 Fall 1998 © U.C.B. MIPS arithmetic instructions °InstructionExampleMeaningComments °add add $1,$2,$3$1 = $2 + $33 operands; exception possible °subtractsub $1,$2,$3$1 = $2 – $33 operands; exception possible °add immediateaddi $1,$2,100$1 = $ constant; exception possible °add unsignedaddu $1,$2,$3$1 = $2 + $33 operands; no exceptions °subtract unsignedsubu $1,$2,$3$1 = $2 – $33 operands; no exceptions °add imm. unsign.addiu $1,$2,100$1 = $ constant; no exceptions °multiply mult $2,$3Hi, Lo = $2 x $364-bit signed product °multiply unsignedmultu$2,$3Hi, Lo = $2 x $3 64-bit unsigned product °divide div $2,$3Lo = $2 ÷ $3,Lo = quotient, Hi = remainder ° Hi = $2 mod $3 °divide unsigned divu $2,$3Lo = $2 ÷ $3,Unsigned quotient & remainder ° Hi = $2 mod $3 °Move from Himfhi $1$1 = HiUsed to get copy of Hi °Move from Lomflo $1$1 = LoUsed to get copy of Lo

ceg3420 l7 Multiply 15 Fall 1998 © U.C.B. MULTIPLY (unsigned) °Paper and pencil example (unsigned): Multiplicand 1000 Multiplier Product °m bits x n bits = m+n bit product °Binary makes it easy: 0 => place 0 ( 0 x multiplicand) 1 => place a copy ( 1 x multiplicand) °4 versions of multiply hardware & algorithm: successive refinement

ceg3420 l7 Multiply 16 Fall 1998 © U.C.B. Unsigned Combinational Multiplier °Stage i accumulates A * 2 i if B i == 1 °Q: How much hardware for 32 bit multiplier? Critical path? B0B0 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 B1B1 B2B2 B3B3 P0P0 P1P1 P2P2 P3P3 P4P4 P5P5 P6P6 P7P7 0000

ceg3420 l7 Multiply 17 Fall 1998 © U.C.B. How does it work? °at each stage shift A left ( x 2) °use next bit of B to determine whether to add in shifted multiplicand °accumulate 2n bit partial product at each stage B0B0 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 B1B1 B2B2 B3B3 P0P0 P1P1 P2P2 P3P3 P4P4 P5P5 P6P6 P7P

ceg3420 l7 Multiply 18 Fall 1998 © U.C.B. Unisigned shift-add multiplier (version 1) °64-bit Multiplicand reg, 64-bit ALU, 64-bit Product reg, 32-bit multiplier reg Product Multiplier Multiplicand 64-bit ALU Shift Left Shift Right Write Control 32 bits 64 bits Multiplier = datapath + control

ceg3420 l7 Multiply 19 Fall 1998 © U.C.B. Multiply Algorithm Version 1 °ProductMultiplierMultiplicand ° ° ° Shift the Multiplier register right 1 bit. Done Yes: 32 repetitions 2. Shift the Multiplicand register left 1 bit. No: < 32 repetitions 1. Test Multiplier0 Multiplier0 = 0 Multiplier0 = 1 1a. Add multiplicand to product & place the result in Product register 32nd repetition? Start

ceg3420 l7 Multiply 20 Fall 1998 © U.C.B. Observations on Multiply Version 1 °1 clock per cycle => ­ 100 clocks per multiply Ratio of multiply to add 5:1 to 100:1 °1/2 bits in multiplicand always 0 => 64-bit adder is wasted °0’s inserted in left of multiplicand as shifted => least significant bits of product never changed once formed °Instead of shifting multiplicand to left, shift product to right?

ceg3420 l7 Multiply 21 Fall 1998 © U.C.B. MULTIPLY HARDWARE Version 2 °32-bit Multiplicand reg, 32 -bit ALU, 64-bit Product reg, 32- bit Multiplier reg Product Multiplier Multiplicand 32-bit ALU Shift Right Write Control 32 bits 64 bits Shift Right

ceg3420 l7 Multiply 22 Fall 1998 © U.C.B. Multiply Algorithm Version 2 MultiplierMultiplicandProduct Shift the Multiplier register right 1 bit. Done Yes: 32 repetitions 2. Shift the Product register right 1 bit. No: < 32 repetitions 1. Test Multiplier0 Multiplier0 = 0 Multiplier0 = 1 1a. Add multiplicand to the left half of product & place the result in the left half of Product register 32nd repetition? Start °ProductMultiplierMultiplicand

ceg3420 l7 Multiply 23 Fall 1998 © U.C.B. What’s going on? °Multiplicand stay’s still and product moves right B0B0 B1B1 B2B2 B3B3 P0P0 P1P1 P2P2 P3P3 P4P4 P5P5 P6P6 P7P A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3 A0A0 A1A1 A2A2 A3A3

ceg3420 l7 Multiply 24 Fall 1998 © U.C.B. Example °MultiplierMultiplicandProduct

ceg3420 l7 Multiply 25 Fall 1998 © U.C.B. Multiply Algorithm Version 2 3. Shift the Multiplier register right 1 bit. Done Yes: 32 repetitions 2. Shift the Product register right 1 bit. No: < 32 repetitions 1. Test Multiplier0 Multiplier0 = 0 Multiplier0 = 1 1a. Add multiplicand to the left half of product & place the result in the left half of Product register 32nd repetition? Start °ProductMultiplierMultiplicand ° ° ° ° ° °

ceg3420 l7 Multiply 26 Fall 1998 © U.C.B. Observations on Multiply Version 2 °Product register wastes space that exactly matches size of multiplier => combine Multiplier register and Product register

ceg3420 l7 Multiply 27 Fall 1998 © U.C.B. MULTIPLY HARDWARE Version 3 °32-bit Multiplicand reg, 32 -bit ALU, 64-bit Product reg, (0- bit Multiplier reg) Product (Multiplier) Multiplicand 32-bit ALU Write Control 32 bits 64 bits Shift Right

ceg3420 l7 Multiply 28 Fall 1998 © U.C.B. Multiply Algorithm Version 3 MultiplicandProduct Done Yes: 32 repetitions 2. Shift the Product register right 1 bit. No: < 32 repetitions 1. Test Product0 Product0 = 0 Product0 = 1 1a. Add multiplicand to the left half of product & place the result in the left half of Product register 32nd repetition? Start

ceg3420 l7 Multiply 29 Fall 1998 © U.C.B. Observations on Multiply Version 3 °2 steps per bit because Multiplier & Product combined °MIPS registers Hi and Lo are left and right half of Product °Gives us MIPS instruction MultU °How can you make it faster? °What about signed multiplication? easiest solution is to make both positive & remember whether to complement product when done (leave out the sign bit, run for 31 steps) apply definition of 2’s complement -need to sign-extend partial products and subtract at the end Booth’s Algorithm is elegant way to multiply signed numbers using same hardware as before and save cycles -can handle multiple bits at a time

ceg3420 l7 Multiply 30 Fall 1998 © U.C.B. Motivation for Booth’s Algorithm °Example 2 x 6 = 0010 x 0110: 0010 x shift (0 in multiplier) add (1 in multiplier) add (1 in multiplier) shift (0 in multiplier) °ALU with add or subtract gets same result in more than one way: 6 = – = – = °For example ° 0010 x shift (0 in multiplier) – 0010 sub (first 1 in multpl.) shift (mid string of 1s) add (prior step had last 1)

ceg3420 l7 Multiply 31 Fall 1998 © U.C.B. Booth’s Algorithm Current BitBit to the RightExplanationExampleOp 10Begins run of 1s sub 11Middle of run of 1s none 01End of run of 1s add 00Middle of run of 0s none Originally for Speed (when shift was faster than add) °Replace a string of 1s in multiplier with an initial subtract when we first see a one and then later add for the bit after the last one –

ceg3420 l7 Multiply 32 Fall 1998 © U.C.B. Booths Example (2 x 7) 1a. P = P - m shift P (sign ext) 1b > nop, shift > nop, shift > add 4a shift 4b done OperationMultiplicandProductnext? 0. initial value > sub

ceg3420 l7 Multiply 33 Fall 1998 © U.C.B. Booths Example (2 x -3) 1a. P = P - m shift P (sign ext) 1b > add a shift P 2b > sub a shift 3b > nop 4a shift 4b done OperationMultiplicandProductnext? 0. initial value > sub

ceg3420 l7 Multiply 34 Fall 1998 © U.C.B. MIPS logical instructions °InstructionExampleMeaningComment °and and $1,$2,$3$1 = $2 & $33 reg. operands; Logical AND °or or $1,$2,$3$1 = $2 | $33 reg. operands; Logical OR °xor xor $1,$2,$3$1 = $2  $33 reg. operands; Logical XOR °nor nor $1,$2,$3$1 = ~($2 |$3)3 reg. operands; Logical NOR °and immediate andi $1,$2,10$1 = $2 & 10Logical AND reg, constant °or immediate ori $1,$2,10$1 = $2 | 10Logical OR reg, constant °xor immediate xori $1, $2,10 $1 = ~$2 &~10Logical XOR reg, constant °shift left logical sll $1,$2,10$1 = $2 << 10Shift left by constant °shift right logical srl $1,$2,10$1 = $2 >> 10Shift right by constant °shift right arithm. sra $1,$2,10$1 = $2 >> 10Shift right (sign extend) °shift left logical sllv $1,$2,$3$1 = $2 << $3 Shift left by variable °shift right logical srlv $1,$2, $3 $1 = $2 >> $3 Shift right by variable °shift right arithm. srav $1,$2, $3 $1 = $2 >> $3 Shift right arith. by variable

ceg3420 l7 Multiply 35 Fall 1998 © U.C.B. Two kinds: logical-- value shifted in is always "0" arithmetic-- on right shifts, sign extend Shifters msblsb"0" msblsb"0" Note: these are single bit shifts. A given instruction might request 0 to 32 bits to be shifted!

ceg3420 l7 Multiply 36 Fall 1998 © U.C.B. Combinational Shifter from MUXes °What comes in the MSBs? °How many levels for 32-bit shifter? °What if we use 4-1 Muxes ? 1 0 sel A B D Basic Building Block 8-bit right shifter S 2 S 1 S 0 A0A0 A1A1 A2A2 A3A3 A4A4 A5A5 A6A6 A7A7 R0R0 R1R1 R2R2 R3R3 R4R4 R5R5 R6R6 R7R7

ceg3420 l7 Multiply 37 Fall 1998 © U.C.B. General Shift Right Scheme using 16 bit example S 0 (0,1) S 1 (0, 2) If added Right-to-left connections could support Rotate (not in MIPS but found in ISAs) S 3 (0, 8) S 2 (0, 4)

ceg3420 l7 Multiply 38 Fall 1998 © U.C.B. Barrel Shifter Technology-dependent solutions: transistor per switch D3 D2 D1 D0 A6 A5 A4 A3A2A1A0 SR0SR1SR2SR3

ceg3420 l7 Multiply 39 Fall 1998 © U.C.B. Summary °Intro to VHDL a language to describe hardware -entity = symbol, architecture ~ schematic, signals = wires behavior can be higher level -x <= boolean_expression(A,B,C,D); Has time as concept Can activate when inputs change, not specifically invoked Inherently parallel °Multiply: successive refinement to see final design 32-bit Adder, 64-bit shift register, 32-bit Multiplicand Register Booth’s algorithm to handle signed multiplies There are algorithms that calculate many bits of multiply per cycle (see exercises 4.36 to 4.39 in COD) °Shifter: success refinement 1/bit at a time shift register to barrel shifter °What’s Missing from MIPS is Divide & Floating Point Arithmetic: Next time the Pentium Bug