CEA-LETI - NIKON Joint Development Program Update C. Lapeyre, S. Barnola, I. Servin, S. Gaugiran, S. Tedesco, L. Pain, A.J. Hazelton, V. Salvetat, M. McCallum.

Slides:



Advertisements
Similar presentations
2009 Litho ITRS Spring Meeting
Advertisements

Michael Lercel And the rest of the Litho TWG’s
Lithography iTWG 2009 Summary
Litho ITRS Update Lithography iTWG December 2008.
Dry Etch and Thin films & Process Integration Jan 6th, 2015 NNfC monthly admin committee meeting.
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Tutorial on Subwavelength Lithography DAC 99
Wafer Level Packaging: A Foundry Perspective
Robert Hsieh/Slide 1 Technology Trends and Manufacturing Considerations for Leading Edge 3D Packaging Lithography Oct 16, 2014 Robert Hsieh, Warren Flack,
Fraught with seeming insurmountable challenges even a year ago, 157-nm lithography seems to be nearing feasibility as a production process. The challenges.
INTEGRATED CIRCUITS Dr. Esam Yosry Lec. #6.
Optical Lithography Ghassan Malek Sr. Development Engineer October 6, 2010 Integrated Systems Nanofabrication Cleanroom.
Double Exposure/Patterning Lithography Hongki Kang EE235 Mar
Pattern transfer by etching or lift-off processes
Design and Implementation of VLSI Systems (EN1600) lecture04 Sherief Reda Division of Engineering, Brown University Spring 2008 [sources: Sedra/Prentice.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Design and Implementation of VLSI Systems (EN0160) Sherief Reda Division of Engineering, Brown University Spring 2007 [sources: Sedra/Prentice Hall, Saint/McGrawHill,
7/14/ Design for Manufacturability Prof. Shiyan Hu Office: EERC 731.
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
L. Karklin, S. Mazor, D.Joshi1, A. Balasinski2, and V. Axelrad3
McGill Nanotools Microfabrication Processes
1 Challenge the future To do list. add extra slide about the coupling, at pressure level. Burn CD.
Fabrication of Active Matrix (STEM) Detectors
Impact of Nanotopography on STI CMP in Future Technologies D. Boning and B. Lee, MIT N. Poduje, ADE Corp. J. Valley, ADE Phase-Shift W. Baylies, Baytech.
An Inductively Coupled Plasma Etcher
Hongbo Zhang, Yuelin Du, Martin D.F. Wong, Yunfei Deng, Pawitter Mangat Synopsys Inc., USA Dept. of ECE, Univ. of Illinois at Urbana-Champaign GlobalFoundries.
ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003.
Scatterfield Zero Order Imaging
SPIE Photomask BACUS 2008 lbl.gov SEMATECH Berkeley Actinic Inspection Tool 1 Advanced Materials Research Center, AMRC, International SEMATECH.
1 12&13/05/2005 Review Meeting More Moore_SP3-WP CONSORTIUM CONFIDENTIAL Introduction More Moore, Resist Part for joint workshop of EUV Projects Medea+
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
12/12/2014L. Proserpio – AXRO meeting, Prague  Definition of terms  Description of the problem wrt the x-ray thin mirrors technologies.
1 Modeling and Simulation International Technology Roadmap for Semiconductors, 2004 Update Ashwini Ujjinamatada Course: CMPE 640 Date: December 05, 2005.
Corial 200RL COSMA Software with:  Edit menu for process recipe edition,  Adjust menu for process optimizing,  Maintenance menus for complete equipment.
Introduction to Wafer fabrication Process
LITHOGRAPHY IN THE TOP-DOWN PROCESS - NEW CONCEPTS
EUV Maskless Lithography J. Vac. Sci. Technol. B 30, (2012); 9/25/20121K. Johnson
 Lyon, France  June 12, 2007 | Christophe Yamahata  Electrical & Mechanical characteristics of DNA bundles revealed by Silicon Nanotweezers C. Yamahata,
MIT Lincoln Laboratory NU Status-1 JAB 11/20/2015 Advanced Photodiode Development 7 April, 2000 James A. Burns ll.mit.edu.
Fixed Abrasive Design for Chemical Mechanical Polishing
Optimization of Through Si Via Last Lithography for 3D Packaging
PHOTOLITHOGRAPHY STUDY FOR HIGH-DENSITY INTEGRATION TECHNOLOGIES
AoE Project Nano-Process Modeling: Lithography modeling and device fabrication Philip Chan, Mansun Chan Department of ECE, HKUST Edmund Lam Department.
SUMMARYSUMMARY  Ion bombardment control,  Thermal management,  No Defects (pits or pillars) formation,  Profile control  Plasma repeatability  Plasma.
Lithography in the Top Down Method New Concepts Lithography In the Top-Down Process New Concepts Learning Objectives –To identify issues in current photolithography.
TNO TPD TNO Science and Industry, 12 May Simulation of processing Influence of secondary electron statistics More Moore SP3WP6.4.
ACTINIC MASK IMAGING: TAKING A SHARP LOOK AT NEXT GENERATION PHOTOMASKS Semiconductor High-NA actinic Reticle Review Project Markus Benk, Antoine Wojdyla,
Developing Positive Negative Etching and Stripping Polymer Resist Thin Film Substrate Resist Exposing Radiation Figure 1.1. Schematic of positive and negative.
Etching: Wet and Dry Physical or Chemical.
EXCITE Confidential / Slide 1 EUV resist screening & patterning EXCITE/ More Moore meeting May 2005.
LITHOGRAPHY IN THE TOP-DOWN PROCESS - BASICS
Introduction EIPBN 2015, San Diego
Section 2: Lithography Jaeger Chapter 2 EE143 – Ali Javey.
Workshop on X-Ray Mission Concepts Brian Ramsey 1, Kiranmayee Kilaru 2, Carolyn Atkins 3, Mikhail V. Gubarev 1, Jessica A. Gaskin 1, Steve O’Dell 1, Martin.
1 of 13 Mark Tillack, John Pulsifer, Sam Yuspeh, Matt Aralis HAPL Project Meeting October 2008 Madison, WI Progress on GIMM mirror development and.
Date of download: 7/11/2016 Copyright © 2016 SPIE. All rights reserved. In extreme ultraviolet lithography (EUVL), the leakage of the EUV light in the.
Date of download: 9/20/2016 Copyright © 2016 SPIE. All rights reserved. Top view of the studied mask and the splitting strategy for the investigated LELE.
Scaling
On Wafer Ion Flux Sensors
Lithography.
Lithography Advanced.
Lecture #25 OUTLINE Device isolation methods Electrical contacts to Si
SiC trench etch for MOSFET application
Double Patterning Samuel Johnson 11/6/18.
LITHOGRAPHY Lithography is the process of imprinting a geometric pattern from a mask onto a thin layer of material called a resist which is a radiation.
Resist Resolution Enhancement and Line-end Shortening Simulation
Full Profile CMP Metrology
Presentation transcript:

CEA-LETI - NIKON Joint Development Program Update C. Lapeyre, S. Barnola, I. Servin, S. Gaugiran, S. Tedesco, L. Pain, A.J. Hazelton, V. Salvetat, M. McCallum

Outline CEA-LETI-Minatec background CEA-LETI-Minatec & NIKON Joint Development Program Double Patterning Process development and control Topography impact on DP technology Reticle & Pellicle impact on CDU & overlay Experimental Nikon error model validation DP processing to achieve k 1 = 0.14

3  200mm clean room (2500 m 2 )  300mm ball room (1500 m 2 )  State of the art tools  Full research line  Continuous operation  7 days per week/ 24 hours per day Nanoelectronic 200mm and 300mm  1,600people on research programs (1000 CEA headcount)  8000m 2 clean room  160industrial partners  30startups  1600 patents portfolio  250patents in 2008 LETI Background

Nikon and CEA-LETI-Minatec are partnering through a Joint Development Program to understand the sensitivities of various DE/DP techniques since early Placing special emphasis on ensuring next generation exposure tools satisfy DP requirements. Using a 0.85 NA dry ArF Nikon scanner in LETI’s Nanotec 300 research facility in Grenoble, France. NSR-S307E – 0.85 NA Dry ArF Nikon and LETI Program

Outline CEA-LETI-Minatec background CEA-LETI-Minatec & NIKON Joint Development Program Double Patterning Process development and control Topography impact on DP technology Reticle & Pellicle impact on CDU & overlay Experimental Nikon error model validation DP processing to achieve k 1 = 0.14

NSR-S307E 0.85 NA ArF Sokudo RF3 Lam Versys 45 nm L/S pattern (k 1 =0.20) Resist ArF 177nm Stack HM2:Oxide 20 nm HM1: Nitride 30 nm Organic Barc 32 nm 45nm Double Patterning Process

Integration stack requirements : –Good selectivity HM1/HM2 –Reduce thickness to minimize topography –Low temperature deposition to avoid carbon mask damage Line 2 : Oxide Line 1 : Nitride on Oxide Good oxide/nitride selectivity Good CD control with trimming Etch Process optimization : –Ensure good resist budget margin to avoid faceting –Control of resist trimming –Etching chemistry selectivity BARC/HM1/HM2 –Conservation of CD and profile of pattern 1 through etch2 DP Process Control (1/3)

nm CD1 is well maintained through etch2 : CD bias ~-3nm Etch process very robust : CD1 and CD2 controlled independently DP Process Control (2/3)

CD uniformity remains around 3nm throughout the DP process in agreement with ITRS 32nm requirements DP Process Control (3/3)

CEA-LETI-Minatec background CEA-LETI-Minatec & NIKON Joint Development Program Double Patterning Process development and control Topography impact on DP technology Reticle & Pellicle impact on CDU & overlay Experimental Nikon error model validation DP processing to achieve k 1 = 0.14 Outline

Substrate topography  BARC thickness variation on features 15nm height 30nm height45nm height 12.3nm 7.7nm 2.6nm Impact on reflectivity control Impact on line1 etch2 dependent upon BARC/nitride selectivity Topography Impact on Litho (1/3)

High topography alters resist profiles Topography Impact on Litho (2/3)

Higher topography induces: Larger CD and larger dispersion Difference between 3  (L2) and 3  (L1) Developing planarizing BARC to improve DP process Thinnest stack as possible : 30nm Si 3 N 4 / 20nm SiO 2 Topography Impact on Litho (3/3)

CEA-LETI-Minatec background CEA-LETI-Minatec & NIKON Joint Development Program Double Patterning Process development and control Topography impact on DP technology Reticle & Pellicle impact on CDU & overlay Experimental Nikon error model validation DP processing to achieve k 1 = 0.14 Outline

6% att-PSM in bright field tonality, no pellicle Quartz flatness 0.5µm (T) Designed with e-beam writer E5000 Mask : CD tool : NANOSEM3, Registration : IPRO4 (VISTEC) Wafer : CD Hitachi CG4000, Overlay : CD-SEM & KLA Mask CDU < ¼ of wafer CDU Mask OVL < 10% of wafer OVL budget 32 nm reticle specifications CD 0.5nm OVL 0.9nm Reticle data fits ITRS Reticle Impact on CD and Overlay

In collaboration with Toppan Photomasks - Mechanical performance of pellicle may introduce pattern distortions - Image placement has been registered before and after pellicle mounting on same relevant structures spread out over the layout (10µm) Pellicle induced distortion represents 15% additional error in the image placement budget for the 45nm node -Mask registration measured in X and Y axis -Distortions after isotropic and orthotropic corrections -Orthotropic corrected by exposure tool Pellicle distortions 3  (nm) after corrections X axis 3.2 nm Y axis 2.4 nm Mapping measurements Pellicle Induced Reticle Deformation

CEA-LETI-Minatec background CEA-LETI-Minatec & NIKON Joint Development Program Double Patterning Process development and control Topography impact on DP technology Reticle & Pellicle impact on CDU & overlay Experimental Nikon error model validation DP processing to achieve k 1 = 0.14 Outline

[A.J. Hazelton et. al, LithoVision 2008] NIKON Error Model Validation Nikon developed a theoretical model to establish the required CD and overlay requirements for DP that could be used in tool design. This was targeted for experimental verification at Leti.

 Standard LELE process : 19 wafers with programmed x-trans offsets  CD measurements : line1, line2, space1 and space2, and 3   Overlay measurements L & S Error Model Validation (1/3)

Line error model is validated experimentally by varying both CD line and CD space Global dispersion on the two line populations Dispersion mean of L1 and L2 Difference of line CDs L & S Error Model Validation (2/3)

Global dispersion on the two space populations Dispersion mean of L1 and L2 Overlay term : (3  )² + [3mean]² Space error model validated experimentally L & S Error Model Validation (3/3) with m 1 -m 2 = ½ x (CDspace 1 -CDspace 2 )

CEA-LETI-Minatec background CEA-LETI-Minatec & NIKON Joint Development Program Double Patterning Process development and control Topography impact on DP technology Reticle & Pellicle impact on CDU & overlay Experimental Nikon error model validation DP processing to achieve k 1 = 0.14 Outline

The existing Dipole Optical Element was combined with a new aperture to produce the desired illumination profile. 4x improvement using new aperture Focus offset (µm) **See V. Salvetat et al poster later tonight Simulation result using NSR illumination data and SolidE simulator Practical Illumination Solutions

Successful DP process developed at CEA-LETI-Minatec coupled with custom illumination solution enabled 32 nm patterning with k 1 = 0.14 using a 0.85 NA dry ArF scanner. 32 nm with pitch 64nm obtained with DP Demonstrated k 1 = 0.14 while showing potential extendibility of dry ArF tools k 1 = nm Using Double Patterning

Double patterning process developed at CEA-LETI-Minatec is step by step controlled Topography impact on DP process has been demonstrated Reticle and pellicle contributions on CD & overlay budgets have been determined experimentally on DP structures Nikon line & space error model validated experimentally Successful partnership between CEA-LETI-Minatec and Nikon demonstrating a Double Patterning process down to k 1 of 0.14 is possible. Nikon / CEA-LETI partnership will continue Summary and Outlook

Brid Connolly, Ralf Ploss, Toppan Photomasks Amandine Pikon, Christophe Brault, Rohm&Haas Electronic Materials Acknowledgements