Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam,

Slides:



Advertisements
Similar presentations
Z. Stamenković 1, M. Giles 2, and F. Russi 2 1 IHP GmbH, Frankfurt (Oder), GERMANY 2 Synopsys Inc., Mountain View, CA, USA 13th IEEE European Test Symposium,
Advertisements

FPGA (Field Programmable Gate Array)
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Ch.3 Overview of Standard Cell Design
Integrated Circuits Laboratory Faculty of Engineering Digital Design Flow Using Mentor Graphics Tools Presented by: Sameh Assem Ibrahim 16-October-2003.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
The Design Process Outline Goal Reading Design Domain Design Flow
Spring 08, Jan 15 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Spring 07, Jan 16 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Introduction Vishwani D. Agrawal James J. Danaher.
Physical Design Outline –What is Physical Design –Design Methods –Design Styles –Analysis and Verification Goal –Understand physical design topics Reading.
An Introduction to Synopsys Design Automation Jeremy Lee November 7, 2007.
CSCE 613 VLSI design is mostly about CAD/EDA tools Many different tools for VLSI design Developed as a new course, independent of previous version Adopt.
CS 151 Digital Systems Design Lecture 38 Programmable Logic.
Using VHDL VHDL used for Simulation Synthesis.
EDA TOOLS. Why EDA? Imagine a Intel based micro processor having 1.5 million transistors. Would it be feasible to design such a complex system with help.
CNRS – INPG – UJF AIDA Workshop, April 8-9, 2013, Frascati (Roma), Italy CAD Tools for 3D-IC and TSV-based designs Kholdoun TORKI
Robust Low Power VLSI R obust L ow P ower VLSI Memory Management Units for Instruction and Data Cache for OR1200 CPU Core Arijit Banerjee ASIC/SOC Class.
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
Hitendra Divecha Sr. Product Marketing Manager SSV Summit November 21 st, 2013 QRC Extraction.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 1 Introduction.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
CAD for Physical Design of VLSI Circuits
Lecture 17 Lecture 17: Platform-Based Design and IP ECE 412: Microcomputer Laboratory.
ASIC Design Flow – An Overview Ing. Pullini Antonio
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
COE 405 Design and Modeling of Digital Systems
Chonnam national university VLSI Lab 8.4 Block Integration for Hard Macros The process of integrating the subblocks into the macro.
George Mason University ECE 448 – FPGA and ASIC Design with VHDL ASICs vs. FPGAs ECE 448 Lecture 15.
DFT Compiler Synopsys Customer Education Services
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
EE 466/586 VLSI Design Partha Pande School of EECS Washington State University
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Bandgap Current Reference source: FEI4_A_CREF FEI4 collaboration. November 29, Vladimir Gromov NIKHEF, Amsterdam, the Netherlands.
CHAPTER 8 Developing Hard Macros The topics are: Overview Hard macro design issues Hard macro design process Physical design for hard macros Block integration.
ASIC to FPGA Conversion Flow. Conversion Feasibility Flow Chart Design Rules Checking Feasibility Report RTL CodeQuick Conversion ASIC Netlist Fault coverage.
DEVICES AND DESIGN : ASIC. DEFINITION Any IC other than a general purpose IC which contains the functionality of thousands of gates is usually called.
Jorgen Christiansen, CERN PH-ESE 1.  Spokes persons and Institute chair elected ◦ SP’s: ATLAS: Maurice Garcia-Sciveres, LBNL CMS: Jorgen Christiansen,
ASIC technology support and foundry services at CERN
Update on the Design Implementation Methodology for the 130nm process Microelecronics User Group meeting TWEPP 2010 – Aachen Sandro Bonacini CERN PH/ESE.
Greg Alkire/Brian Smith 197 MAPLD An Ultra Low Power Reconfigurable Task Processor for Space Brian Smith, Greg Alkire – PicoDyne Inc. Wes Powell.
ASIC Building Blocks for Tracker Upgrade A. Marchioro / CERN-PH-ESE October, 2009.
Evan Vaughan.  No native support for bit-slicing in Cadence Synthesis Tools ◦ Synopsys does provide this  Trick RTL Compiler and Soc Encounter into.
Written by Whitney J. Wadlow
3D Design IPHC Frédéric Morel - Grégory Bertolone - Claude Colledani.
RD53 1.  Full/large demonstrator chip submission ◦ When: 2016 A.Early 2016: If chip must have been fully demonstrated in test beams for TDRs to be made.
R. Kluit Electronics Department Nikhef, Amsterdam. Integrated Circuit Design.
-1- Soft Core Viterbi Decoder EECS 290A Project Dave Chinnery, Rhett Davis, Chris Taylor, Ning Zhang.
1 EE 382M VLSI 1 EE 360R Computer-Aided Integrated Circuit Design Lab 1 Demo Fall 2011 Whitney J. Wadlow.
TDC status and to do 1. Status of TDC design 2. List of future activities.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
ASIC Design Methodology
TUTORIAL: Digital-on-Top
Connection of the scholarly work flow with the open science framework
Open Science Framework
Written by Whitney J. Wadlow
Upgrade of the ATLAS MDT Front-End Electronics
Top-level Schematics Digital Block Sign-off Digital Model of Chip
Testability in EOCHL (and beyond…)
Cadence Low-Power Solution
ITRS Roadmap Design Process Open Discussion EDP 2001
332:437 Lecture 7 Verilog Hardware Description Language Basics
ECE 699: Lecture 3 ZYNQ Design Flow.
332:437 Lecture 7 Verilog Hardware Description Language Basics
VHDL Introduction.
332:437 Lecture 7 Verilog Hardware Description Language Basics
DARE180U Platform Improvements in Release 5.6
H a r d w a r e M o d e l i n g O v e r v i e w
EE382M VLSI 1 LAB 1 DEMO FALL 2018.
Measuring the Gap between FPGAs and ASICs
Presentation transcript:

Design Tools, Flows and Library Aspects during the FE-I4 Implementation on Silicon Vladimir Zivkovic National Institute for Subatomic Physics Amsterdam, the Netherlands Microelectronics User Group (MUG) meeting Topical Workshop on Electronics for Particle Physics (TWEPP) 2011 Vienna, September 27 th 2011

MUG, Vienna, FEI4-A Architecture and Design Foundations 2 Vladimir Zivkovic Radiation hardness out of the box Good power distribution Essential when making the long columns Substrate isolation (T3) Essential when using standard cell synthesized logic Innovations - Region architecture (memory on pixel) - Modular approach and distributed design - Low current operation, fault tolerance, digital and mixed-signal Test Benches for Simulation Multi-site collaboration -> design repository necessary (SOS Cliosoft platform) vv 8M 130 nm CMOS Stack

MUG, Vienna, Layout Foundation 3 Vladimir Zivkovic Isolated NMOS / PMOS T3 isolates the switching activity of digital circuits from the substrate and other blocks - very convenient for modular (core) – based designs DM option chosen over LM for : More flexibility to provide good power distribution (low resistivity M8 and M7) Good shielding (M7 is less resistive, so M8 can be sacrificed to provide for a solid shield) Good for inter-block routing (low RC) Full MOSIS support Mind: local routing restricted to 3 metal layers due to bad local high density routing

MUG, Vienna, Standard Cell Library – ARM Fully characterized and qualified, low-power version also available, as well as SEU-resistant Extensive use of inherited connections –Some problems during delivery exchange experienced there due to the non- uniform distribution of the library 4 Vladimir Zivkovic All digital blocks placed in T3-isolated pwells A stable substrate (under T3 isolation) is guaranteed by enforcing a maximum distance of 100 μ m between substrate contacts

MUG, Vienna, Design Repository 5 Vladimir Zivkovic SOS design repository from cliosoft.com Repository hosted at LBNL and mirrored at all other sites

MUG, Vienna, Design Flow for FEI4 at NIKHEF (digital) 6 Vladimir Zivkovic RTL creation with Verilog (no VHDL!) Two-pass mapped flow for synthesis and DfT –Synopsys Design and DfT Compiler, Version B SP2 for linux Placement and Routing –Cadence Encounter Digital Implementation (EDI) 9.1 –Cadence SoC Encounter v07.10-s219_1 (reference, backup) Physical Netlist Verification and Sign-off –Statistical Timing Analysis (STA) with.spef, Synopys PrimeTime, Version B SP2 for linux Physical Verification –Virtuoso _> Open Access –DRC, LVS, netlist extraction with parasitics with Calibre _32.2 ATPG –Synopsys TetraMAX ATPG, Version B SP2 for linux Simulations –Cadence NcSim 8.2 -> NcSim 9.2

MUG, Vienna, Readout Core Modification 7 Vladimir Zivkovic Layout boundary fixed Pin positions fixed Timing constraints the same 15% larger design had still to be fit in Design Flow going back and fourth between Synopsys and Cadence Top-level integration issues

MUG, Vienna, Design Flow for FEI4 at NIKHEF (analog) 8 Vladimir Zivkovic Schematic capture, layout creation –Virtuoso Simulations –MMSIM 7.0 Physical Verification –DRC –Assura OA (easy to use, primary) –Calibre _32.2 (final) –LVS –Assura OA –Calibre _32.2 Extraction –QRC EXT 7.12

MUG, Vienna, Design Verification Efforts Standalone block simulations with extracted parasitics Open Verification Methodology Environment (OVM) –This means that the real life commands/functions are converted into the testbench. 9 Vladimir Zivkovic Digital full chip simulations Parasitic capacitances, process variations, interconnect delays included Digital (block interconnect) extraction using Assura black-box approach

MUG, Vienna, Mixed-Signal Top-level Testbench 10 Vladimir Zivkovic Analog/Mixed-signal functionality check from the top-level Model driven test & verification development IC model Loadboard & instrument model Test description Each hardware component can be modeled at arbitrary level of abstraction

MUG, Vienna, ATPG and Test Assembly Flow 11 Vladimir Zivkovic