Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.

Slides:



Advertisements
Similar presentations
(1/25) UCSD VLSI CAD Laboratory - ISQED10, March. 23, 2010 Toward Effective Utilization of Timing Exceptions in Design Optimization Kwangok Jeong, Andrew.
Advertisements

Tunable Sensors for Process-Aware Voltage Scaling
OCV-Aware Top-Level Clock Tree Optimization
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Sequential Synthesis.
ELEN 468 Lecture 261 ELEN 468 Advanced Logic Design Lecture 26 Interconnect Timing Optimization.
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Minimum Implant Area-Aware Gate Sizing and Placement
EE141 © Digital Integrated Circuits 2nd Timing Issues 1 Digital Integrated Circuits A Design Perspective Timing Issues Jan M. Rabaey Anantha Chandrakasan.
UC San Diego / VLSI CAD Laboratory NOLO: A No-Loop, Predictive Useful Skew Methodology for Improved Timing in IC Implementation Tuck-Boon Chan, Andrew.
The Cost of Fixing Hold Time Violations in Sub-threshold Circuits Yanqing Zhang, Benton Calhoun University of Virginia Motivation and Background Power.
Assessing Chip-Level Impact of Double Patterning Lithography Kwangok Jeong *, Andrew B. Kahng *,**, and Rasit O. Topaloglu ***
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Toward PDN Resource Estimation: A Law of General Power Density Kwangok Jeong and Andrew B. Kahng
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Impact of Guardband Reduction on Design Process Outcomes Kwangok Jeong Andrew B. Kahng Kambiz Samadi
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Enhanced Resist and Etch CD Control by Design Perturbation Abstract Etch dummy features are used to reduce CD skew between resist and etch processes and.
Local Unidirectional Bias for Smooth Cutsize-delay Tradeoff in Performance-driven Partitioning Andrew B. Kahng and Xu Xu UCSD CSE and ECE Depts. Work supported.
On Modeling and Sensitivity of Via Count in SOC Physical Implementation Kwangok Jeong Andrew B. Kahng.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
UCSD VLSI CAD Laboratory BACUS-2008 Revisiting the Layout Decomposition Problem for Double Patterning Lithography Andrew B. Kahng, Chul-Hong Park, Xu Xu,
April 16th, Photomask Japan 2008 Electrical Metrics for Lithographic Line-End Tapering Puneet Gupta 3,
Chung-Kuan Cheng†, Andrew B. Kahng†‡,
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Toward a Methodology for Manufacturability-Driven Design Rule Exploration Luigi Capodieci, Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, and Jie Yang.
Circuit Performance Variability Decomposition Michael Orshansky, Costas Spanos, and Chenming Hu Department of Electrical Engineering and Computer Sciences,
ELEN 468 Lecture 271 ELEN 468 Advanced Logic Design Lecture 27 Interconnect Timing Optimization II.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
Closing the Loop in Interconnect Analyses and Optimization: CMP Fill, Lithography and Timing Puneet Gupta 1 Andrew B. Kahng 1,2,3 O.S. Nakagawa 1 Kambiz.
Methodology from Chaos in IC Implementation Kwangok Jeong * and Andrew B. Kahng *,** * ECE Dept., UC San Diego ** CSE Dept., UC San Diego.
SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.
Selective Gate-Length Biasing for Cost-Effective Runtime Leakage Control Puneet Gupta 1 Andrew B. Kahng 1 Puneet Sharma 1 Dennis Sylvester 2 1 ECE Department,
UCSD VLSI CAD Laboratory - ICCAD, Nov. 3, 2009 Timing Yield-Aware Color Reassignment and Detailed Placement Perturbation for Double Patterning Lithography.
1UCSD VLSI CAD Laboratory / GLOBALFOUNDRIES, Inc. - SLIP Workshop, July Is Overlay Error More Important Than Interconnect Variations in Double.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
-1- UC San Diego / VLSI CAD Laboratory Methodology for Electromigration Signoff in the Presence of Adaptive Voltage Scaling Wei-Ting Jonas Chan, Andrew.
Andrew B. Kahng‡†, Mulong Luo†, Siddhartha Nath†
Dose Map and Placement Co-Optimization for Timing Yield Enhancement and Leakage Power Reduction Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong.
Accuracy-Configurable Adder for Approximate Arithmetic Designs
-1- UC San Diego / VLSI CAD Laboratory A Global-Local Optimization Framework for Simultaneous Multi-Mode Multi-Corner Clock Skew Variation Reduction Kwangsoo.
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
CAD for Physical Design of VLSI Circuits
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee and Lutong Wang
Optimal digital circuit design Mohammad Sharifkhani.
Kwangsoo Han‡, Andrew B. Kahng‡† and Hyein Lee‡
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
Outline Introduction: BTI Aging and AVS Signoff Problem
Logic synthesis flow Technology independent mapping –Two level or multilevel optimization to optimize a coarse metric related to area/delay Technology.
UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong.
-1- UC San Diego / VLSI CAD Laboratory On Potential Design Impacts of Electromigration Awareness Andrew B. Kahng, Siddhartha Nath and Tajana S. Rosing.
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
-1- Delay Uncertainty and Signal Criticality Driven Routing Channel Optimization for Advanced DRAM Products Samyoung Bang #, Kwangsoo Han ‡, Andrew B.
Written by Whitney J. Wadlow
Proximity Optimization for Adaptive Circuit Design Ang Lu, Hao He, and Jiang Hu.
Kun Young Chung*, Andrew B. Kahng+ and Jiajia Li+
Defining Statistical Sensitivity for Timing Optimization of Logic Circuits with Large-Scale Process and Environmental Variations Xin Li, Jiayong Le, Mustafa.
Improved Performance of 3DIC Implementations Through Inherent Awareness of Mix-and-Match Die Stacking Kwangsoo Han, Andrew B. Kahng and Jiajia Li University.
Capacitance variation 3/ (%)
Revisiting and Bounding the Benefit From 3D Integration
Timing Analysis 11/21/2018.
Chapter 10 Timing Issues Rev /11/2003 Rev /28/2003
Presentation transcript:

Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY UC San Diego Research supported by STARC ASP-DAC Session 5B, January 21, 2009

VLSI CAD LABORATORY, UCSD Motivation  Single exposure lithography  All shapes printed by one exposure  Adjacent identical features have same mean CD (critical dimension), and spatially correlated CD variations  Double patterning lithography (DPL)  Shapes are decomposed and printed in two exposures  Adjacent features can have different mean CD, and uncorrelated CD variations  New set of ‘bimodal’ challenges for timing analysis and optimization

VLSI CAD LABORATORY, UCSD DPL Approaches  Print lines  Misalignment  No CD difference between two adjacent lines  CD control is key factor  Print edges  Exposure difference  No CD difference between two adjacent lines  Overlay control is key factor CD variation w/o misalignment 1 st Exp./Etch 2 nd Etch 1 st Exp./Etch CD variation w/ misalignment 2 nd Etch 1 st Exp. & Etch Poly Hardmask Final patterns Resist 2 nd Exp. 1 st Exp. 2 nd Exp. 1 st Exp. 2 nd Exp. 1 st Exp. Final patterns Poly 2 nd Exp. Resist CD variation w/o misalignment CD variation w/ misalignment

VLSI CAD LABORATORY, UCSD Bimodal CD Distribution  Two CD distributions and Two different colorings  Two different timings  This Research  Assess potential impact of bimodal CD distribution on timing analysis and guardbanding  Cell delay and power, path delay, clock skew, path timing slack  Seek potential solutions to minimize the impact of bimodal CD distribution M 12 -type cellM 21 -type cell Gates from CD group1 Gates from CD group2

VLSI CAD LABORATORY, UCSD Impact on Path Delay Variation  Simulation results  Mean and sigma of a long inverter chain (16 stages) over all process corners (Min and Max combinations)  Alternately-colored paths  smaller path delay variation 16-stage 2-types Covariance worsens path delay variation  Simulation setup  45nm PTM, Typical corner (TT), 1.0V, 25 °C  16 stages of 45nm INVX4 (Nangate Open Cell Library)  Each cell can have two different colorings  Each color (Mask 1 or 2) can have two different process results (Min or Max)

VLSI CAD LABORATORY, UCSD Impact on Timing Slack (Analysis)  Timing slack calculation  Timing slack:  Timing slack variation:  Clock skew  Especially, clock skew from uncorrelated launching and capturing clock paths are the major source of timing slack variation.  Example Large correlation is better for timing slack Data (10  2 = 8~12ns) Clock (10  2 = 8~12ns) Worst slack = 5  5 = 0ns Worst slack = min(clock) – max(data) = 8  12 =  4ns Worst slack = 15  15 = 0ns (a)Worst slack in DPL Small delay variation but large negative slack (b) Worst slack in single exp. Large delay variation but zero slack Data (10 – 5 = 5ns) Clock (10 – 5 = 5ns) Data ( = 15ns) Clock ( = 15ns) BC WC

VLSI CAD LABORATORY, UCSD Impact on Timing Slack (Simulation Setup)  Testcase  AES from Opencores, Nangate 45nm library, PTM 45nm  Extracted critical path Clock launch: 14 stages Clock capture: 14 stages Data path: 30 stages Exhaustive tests (4 x 2 54 ) not feasible, so we fix the data path coloring. CaseLaunchCapture 1 M12+M12… 2 M21+M21… 3M12+M12…M21+M21… 4 M12+M12… 5 M12+M21… M1M2 Mean 3s Mean 3s  CD Mean Uni- modal nm Pooled Bimodal nm Pooled Bimodal nm Pooled Bimodal nm Pooled Bimodal nm Pooled Bimodal nm Pooled Bimodal nm Pooled Bimodal

VLSI CAD LABORATORY, UCSD Impact on Timing Slack (Simulation Results)  Clock skew  Even for the zero mean difference case, clock skew exists and increases with mean difference  Pooled unimodal can not distinguish this clock skew  Timing slack  Originally zero slack turns out to have significant negative slack  Pooled unimodal shows very pessimistic slack 22ps 53ps Cases 1, 2, 5

VLSI CAD LABORATORY, UCSD Possible Solutions for Timing Optimization  Self-compensation  Alternative coloring of timing paths  reduce variation  Same coloring sequence for clock network  reduce clock skew  But: restricted coloring can increase coloring conflicts  Solutions for coloring conflicts  Candidate1: large sized cells to prevent conflicts between cells  Candidate2: Placement legalization after coloring (like UCSD *Corr) 2d pb  Res min (a) Conflict(b) No conflict 2d pb  Res min d pb d pb : distance from poly center to cell boundary Res min : minimum resolution (a) Original placement(b) Alternative coloring Coloring-fixed cells Logical connection Coloring conflict (c) Conflict Removal > Res min

VLSI CAD LABORATORY, UCSD Self-Compensation Is Not Enough  Self-compensation in path coloring reduces delay variation, but bimodal CD impact is still significant CD Mean Diff Rise delay (ps) Path1Path2 0n n n n CD Mean Diff Rise delay (ps) Path1Path2 0n n n n Better, but can still have timing violations

VLSI CAD LABORATORY, UCSD BEOL Compensation of Measured FEOL  CD  UCSD: “Design-Aware Process Adaptation”  FEOL metrology  intentional BEOL CD biasing  DPL allows wire segments in different masks to change CD independently  Color interconnects differently for different CD groups  F-factor = (in)flexibility factor for interconnect coloring, e.g., F=1, u All wire segments connected to CD_group1 gates must be in INT_MASK1 u All wire segments connected to CD_group2 gates must be in INT_MASK2

VLSI CAD LABORATORY, UCSD Compensation with BEOL Biasing  Small CD gates  thick interconnect (large cap.)  Large CD gates  thin interconnect (small cap.)  Example for F=0.8 (80% of interconnects colored according to the gate CD groups) CD Mean Diff Rise delay (ps) Interconnect Model Path1Path2 Nominal (nm) WidthSpace 0n n n n CD Mean Diff Rise delay (ps) Interconnect Model (biasing) Path1Path2 INT1 (80%) INT2 (20%) Space Width 0n n n n meet timing Change metal/ILD thickness?

VLSI CAD LABORATORY, UCSD Conclusions  Analytical and empirical assessments of DPL potential impact on timing analysis error and design guardband  Traditional ‘unimodal’ analysis may not be viable for DPL  Our analysis: 20% or greater change in timing  Self-compensation strategies, along with BEOL biasing, can reduce impact of bimodal CD variation  Work at UCSD: “Design-Aware Process Adaptation”  Ongoing work: more accurate, efficient and practical solutions to ‘bimodal-awareness’ challenges in timing analysis and circuit optimization

BACKUP

VLSI CAD LABORATORY, UCSD Impact on Cell Delay and Power  Monte Carlo simulations : #10K  DPL1: (2n-1)-th gate is group1 and 2n-th gate is group2  DPL2: 2n-th gate is group1 and (2n-1)-th gate is group2  Unimodal: CD distribution covers CD group1  CD group2  Unimodal representation is too pessimistic  Characteristics of DPL1 and DPL2 are very different! rise fall rise fall Input 1 Input 0 Input 1 Input Bimodal group1 Bimodal group2 Worst CDBest CD Unimodal n

VLSI CAD LABORATORY, UCSD Impact on Design Guardband  Comparison of required design guardband  Unimodal approximation: conservative but easy  Lead to over-design  But can use conventional flow  Bimodal-aware: realistic but complex method  New bimodal-aware timing analysis and new timing-driven design optimizations are required