UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.

Slides:



Advertisements
Similar presentations
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
Advertisements

Tunable Sensors for Process-Aware Voltage Scaling
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Chris A. Mack, Fundamental Principles of Optical Lithography, (c) 2007
Tutorial on Subwavelength Lithography DAC 99
Timing Margin Recovery With Flexible Flip-Flop Timing Model
Minimum Implant Area-Aware Gate Sizing and Placement
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 10, 2013 Statistical Static Timing Analysis.
Ahmed Awad Atsushi Takahash Satoshi Tanakay Chikaaki Kodamay ICCAD’14
DARPA Assessing Parameter and Model Sensitivities of Cycle-Time Predictions Using GTX u Abstract The GTX (GSRC Technology Extrapolation) system serves.
1 A Lithography-friendly Structured ASIC Design Approach By: Salman Goplani* Rajesh Garg # Sunil P Khatri # Mosong Cheng # * National Instruments, Austin,
Dual Graph-Based Hot Spot Detection Andrew B. Kahng 1 Chul-Hong Park 2 Xu Xu 1 (1) Blaze DFM, Inc. (2) ECE, University of California at San Diego.
Design of Variable Input Delay Gates for Low Dynamic Power Circuits
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 22, 2009 Statistical Static Timing Analysis.
Background: Scan-Based Delay Fault Testing Sequentially apply initialization, launch test vector pairs that differ by 1-bit shift A vector pair induces.
Puneet Sharma and Puneet Gupta Prof. Andrew B. Kahng Prof. Dennis Sylvester System-Level Living Roadmap Annual Review, Sept Basic Ideas Gate-length.
Detailed Placement for Improved Depth of Focus and CD Control Puneet Gupta 1 Andrew B. Kahng 1,2 Chul-Hong Park 2 1 Blaze DFM,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 14: March 19, 2008 Statistical Static Timing Analysis.
Architectural-Level Prediction of Interconnect Wirelength and Fanout Kwangok Jeong, Andrew B. Kahng and Kambiz Samadi UCSD VLSI CAD Laboratory
Enhanced Resist and Etch CD Control by Design Perturbation Abstract Etch dummy features are used to reduce CD skew between resist and etch processes and.
Design Automation for VLSI, MS-SOCs & Nanotechnologies Dr. Malgorzata Chrzanowska-Jeske Mixed-Signal System-on-Chip (supported.
Fast and Area-Efficient Phase Conflict Detection and Correction in Standard-Cell Layouts Charles Chiang, Synopsys Andrew B. Kahng, UC San Diego Subarna.
On-Line Adjustable Buffering for Runtime Power Reduction Andrew B. Kahng Ψ Sherief Reda † Puneet Sharma Ψ Ψ University of California, San Diego † Brown.
1 UCSD VLSI CAD Laboratory ISQED-2009 Revisiting the Linear Programming Framework for Leakage Power vs. Performance Optimization Kwangok Jeong, Andrew.
Toward Performance-Driven Reduction of the Cost of RET-Based Lithography Control Dennis Sylvester Jie Yang (Univ. of Michigan,
Design Bright-Field AAPSM Conflict Detection and Correction C. Chiang, Synopsys A. Kahng, UC San Diego S. Sinha, Synopsys X. Xu, UC San Diego A. Zelikovsky,
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
Toward a Methodology for Manufacturability-Driven Design Rule Exploration Luigi Capodieci, Puneet Gupta, Andrew B. Kahng, Dennis Sylvester, and Jie Yang.
Detailed Placement for Leakage Reduction Using Systematic Through-Pitch Variation Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments,
Defocus-Aware Leakage Estimation and Control Andrew B. Kahng †‡ Swamy Muddu ‡ Puneet Sharma ‡ CSE † and ECE ‡ Departments, UC San Diego.
Topography-Aware OPC for Better DOF margin and CD control Puneet Gupta*, Andrew B. Kahng*†‡, Chul-Hong Park†, Kambiz Samadi†, and Xu Xu‡ * Blaze-DFM Inc.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD.
Selective Gate-Length Biasing for Cost-Effective Runtime Leakage Control Puneet Gupta 1 Andrew B. Kahng 1 Puneet Sharma 1 Dennis Sylvester 2 1 ECE Department,
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
Timing Analysis and Optimization Implications of Bimodal CD Distribution in Double Patterning Lithography Kwangok Jeong and Andrew B. Kahng VLSI CAD LABORATORY.
UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD Laboratory UC San Diego Computer Engineering VLSI CAD.
DMAIC DefineMeasureAnalyzeImproveControl D Define M Measure A Analyze I Improve C Control Implementing Six Sigma Quality at Better Body Manufacturing.
DDRO: A Novel Performance Monitoring Methodology Based on Design-Dependent Ring Oscillators Tuck-Boon Chan †, Puneet Gupta §, Andrew B. Kahng †‡ and Liangzhen.
Enhanced Metamodeling Techniques for High-Dimensional IC Design Estimation Problems Andrew B. Kahng, Bill Lin and Siddhartha Nath VLSI CAD LABORATORY,
Dose Map and Placement Co-Optimization for Timing Yield Enhancement and Leakage Power Reduction Kwangok Jeong, Andrew B. Kahng, Chul-Hong Park, Hailong.
L. Karklin, S. Mazor, D.Joshi1, A. Balasinski2, and V. Axelrad3
Accuracy-Configurable Adder for Approximate Arithmetic Designs
A New Methodology for Reduced Cost of Resilience Andrew B. Kahng, Seokhyeong Kang and Jiajia Li UC San Diego VLSI CAD Laboratory.
Capturing Crosstalk-Induced Waveform for Accurate Static Timing Analysis Masanori Hashimoto, Yuji Yamada, Hidetoshi Onodera Kyoto University.
UC San Diego / VLSI CAD Laboratory Incremental Multiple-Scan Chain Ordering for ECO Flip-Flop Insertion Andrew B. Kahng, Ilgweon Kang and Siddhartha Nath.
-1- UC San Diego / VLSI CAD Laboratory Construction of Realistic Gate Sizing Benchmarks With Known Optimal Solutions Andrew B. Kahng, Seokhyeong Kang VLSI.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf.
Pattern Sensitive Placement For Manufacturability Shiyan Hu, Jiang Hu Department of Electrical and Computer Engineering Texas A&M University College Station,
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
VTS 2012: Zhao-Agrawal1 Net Diagnosis using Stuck-at and Transition Fault Models Lixing Zhao* Vishwani D. Agrawal Department of Electrical and Computer.
Outline Introduction: BTI Aging and AVS Signoff Problem
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 24: April 22, 2015 Statistical Static Timing Analysis.
Physical Synthesis Buffer Insertion, Gate Sizing, Wire Sizing,
UC San Diego / VLSI CAD Laboratory Learning-Based Approximation of Interconnect Delay and Slew Modeling in Signoff Timing Tools Andrew B. Kahng, Seokhyeong.
Design For Manufacturability in Nanometer Era
-1- UC San Diego / VLSI CAD Laboratory Optimization of Overdrive Signoff Tuck-Boon Chan, Andrew B. Kahng, Jiajia Li and Siddhartha Nath Tuck-Boon Chan,
1 Timing Closure and the constant delay paradigm Problem: (timing closure problem) It has been difficult to get a circuit that meets delay requirements.
University of Michigan Advanced Computer Architecture Lab. 2 CAD Tools for Variation Tolerance David Blaauw and Kaviraj Chopra University of Michigan.
Capacitance variation 3/ (%)
Implementing Six Sigma Quality
Post-Silicon Calibration for Large-Volume Products
Presentation transcript:

UC San Diego Computer Engineering. VLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory... UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory.. UC San Diego Computer EngineeringVLSI CAD Laboratory. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory.. UC San Diego Computer Engineering VLSI CAD Laboratory. Abstract As minimum feature sizes continue to shrink, patterned features have become significantly smaller than the wavelength of light used in optical lithography. As a result, the requirement for dimensional variation control, especially in critical dimension (CD) 3sigma, has become more stringent. To meet these requirements, resolution enhancement techniques (RET) such as optical proximity correction (OPC) and phase shift mask (PSM) technology are applied. These approaches result in a substantial increase in mask costs and make the cost of ownership (COO) a key parameter in the comparison of lithography technologies. To properly calibrate the cost-benefit analysis of alternative lithography strategies, it is necessary to first understand the concept of design-aware, minimum-cost correction to achieve given parametric yield. No concept of function is injected into today's mask flow. That is, current OPC techniques are oblivious to the design intent, and the entire layout is corrected uniformly with the same effort. We propose a novel minimum cost of correction (MinCorr) methodology to determine the level of correction for each layout feature such that prescribed parametric yield is attained. We highlight potential solutions to the MinCorr problem and give a simple mapping to traditional performance optimization. We conclude with experimental results showing the achievable RET cost savings while attaining a desired level of parametric yield Trends in Mask Cost O(25 mask levels) ~ “$1M mask set” in 130nm MinCorr: The Cost of Correction Problem Many features in layout are not timing critical  more process variation may be tolerable for them Less-aggressive OPC  lower costs (reduced figure counts, shorter mask write times, higher yields) Printability of the design  a certain minimum level of OPC is required Define the selling point as the circuit delay which achieves 99% parametric yield  The MinCorr problem seeks a level of correction for each layout feature such that a prescribed selling point delay is attained with minimum total cost of corrections. Yield Aware Library Characterization Type of OPCLeff (nm) 3  of Leff Figure Count Delay ( , 3  ) for NAND2X1 Aggressive1305%5X(60.7, 2.14) Medium1306.5%4X(60.7, 2.80) No OPC13010%1X(60.7, 4.33) Conclusions and Future Work Function-aware OPC can reduce total cost of OPC while still meeting cycle time and yield constraints Can modify conventional performance optimization methods to solve the MinCorr problem; we use an off-the- shelf synthesis tool to achieve up to 77% cost reduction compared to aggressive OPC, without increasing selling point delay OPC is more of a manufacturability issue than a performance or yield issue Our ongoing research pursues: Statistical static timing analysis (SSTA) based correction flow Applying selective OPC at a finer granularity than gates: Tolerable “Edge Placement Errors” per feature can be calculated and used by the OPC tool. Alternative MinCorr solution approaches based on transistor sizing and cost based delay budgeting methods Including interconnect variation in the analysis Making the yield library input slew-aware Solving MinCorr: An Analogy to Gate Sizing Gate Sizing  MinCorr Cell Area  Cost of correction Nominal Delay  Delay (  +k  ) Cycle Time  Selling point delay Die Area  Total cost of OPC Experiments and Results DesignNormalized CostNormalized Selling Point Delay “alu128”5.0 (Aggressive OPC) (Medium OPC) (No OPC) RETs increase mask feature complexities and hence mask costs: the 130nm technology node brings on the “million-dollar mask set” The average mask set produces only 570 wafers  amortization of mask cost is difficult Mask writers work equally hard to perfect critical and non-critical shapes; errors found in either during mask inspection will cause the mask to be discarded We need Design for Value (DFV) methodology to achieve required parametric yields ($/wafer) while minimizing the total of all costs incurred Publications Y. Cao, P. Gupta, A. B. Kahng, D. Sylvester and J. Yang, “Design Sensitivities to Variability: Extrapolation and Assessments in Nanometer VLSI”, Proc. IEEE ASIC/SoC Conf., Sept. 2002, pp D. Sylvester, P. Gupta, A. B. Kahng, and J. Yang, “Toward Performance-Driven Reduction of the Cost of RET-based Lithography Control” (Invited Paper), SPIE Conf. on Design and Process Integration for Microelectronic Manufacturing, Feb. 2003, to appear. P. Gupta, A. B. Kahng, D. Sylvester and J. Yang, “A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools”, Proc. ACM/IEEE Design Automation Conf., 2003, pp Assume perfect correlation of variation along all paths  resulting linearity allows propagation of (  +3  ) or 99% delay to primary outputs using standard Static Timing Analysis (STA) tools Use off-the-shelf synthesis tool, along with yield library similar to timing libraries (e.g.,.lib) to perform OPC “sizing” operation via mapping shown at left Pessimism ensured via extreme order statistics Mask cost is assumed proportional to number of layout features Monte-Carlo simulations, coupled with linear interpolation, are used to estimate delay variance given the CD variation We generate a library similar to Synopsys.lib with (  +3  ) delay values for various output loads. We characterize for yield a subset of the Artisan TSMC 0.13  m standard-cell library. An example is given below. No OPC Aggressive OPC Synopsys Design Compiler used for synthesis Figure counts, critical dimension (CD) variations derived from Numerical Technologies OPC tool Small (4%) selling point delay variation between max- and min-corrected versions of design Sizing-based optimization achieves 65% reduction in OPC cost without sacrificing parametric yield Sample results on a 8064-gate combinational design A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools Puneet Gupta, Andrew B. Kahng Calibrating Achievable Design Annual Review September 2003