PhD Student: Carlos Arthur Lang Lisbôa Advisor: Luigi Carro VLSI-SoC 2007 - PhD Forum Low overhead system level approaches to deal with multiple and long.

Slides:



Advertisements
Similar presentations
Embedded Systems Laboratory and Electrical Engineering Department Informatics Institute and Engineering School Federal University of Rio Grande do Sul.
Advertisements

Programa de Pós-Graduação em Computação Instituto de Informática Universidade Federal do Rio Grande do Sul Porto Alegre – RS – Brazil Semana Acadêmica.
EVALUATION OF A CIRCUIT PATH DELAY TUNING TECHNIQUE FOR NANOMETER CMOS Advisor: Dr. Adit D. Singh Committee members: Dr. Vishwani D. Agrawal and Dr. Victor.
Baloch 1MAPLD 2005/1024-L Design of a ‘Single Event Effect’ Mitigation Technique for Reconfigurable Architectures SAJID BALOCH Prof. Dr. T. Arslan 1,2.
Using Implications for Online Error Detection Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence, RI
IN2305-II Embedded Programming Lecture 2: Digital Logic.
Single Event Upsets (SEUs) – Soft Errors By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M University, College.
2007 MURI Review The Effect of Voltage Fluctuations on the Single Event Transient Response of Deep Submicron Digital Circuits Matthew J. Gadlage 1,2, Ronald.
Studying in Porto Alegre at the Federal University of the Rio Grande do Sul Nicolas Maillard.
725/ASP-DAC Using Loop Invariants to Fight Soft Errors in Data Caches Sri Hari Krishna N., Seung Woo Son, Mahmut Kandemir, Feihui Li Department of.
NATW 2008 Using Implications for Online Error Detection Nuno Alves, Jennifer Dworak, R. Iris Bahar Division of Engineering Brown University Providence,
1 A Design Approach for Radiation-hard Digital Electronics Rajesh Garg Nikhil Jayakumar Sunil P Khatri Gwan Choi Department of Electrical and Computer.
Polynomial-Time Algorithms for Designing Dual-Voltage Energy Efficient Circuits Master’s Thesis Defense Mridula Allani Advisor : Dr. Vishwani D. Agrawal.
A Diagnostic Test Generation System Yu Zhang Vishwani D. Agrawal Auburn University, Auburn, Alabama USA Nov. 3rdITC
Strong Error Detection for Control Units Against Advanced Attackers Kahraman Daglar Akdemir Advisor: Berk Sunar Electrical and Computer Engineering MOTIVATION.
March 16-18, 2008SSST'20081 Soft Error Rate Determination for Nanometer CMOS VLSI Circuits Fan Wang Vishwani D. Agrawal Department of Electrical and Computer.
A Delay-efficient Radiation-hard Digital Design Approach Using Code Word State Preserving (CWSP) Elements Charu Nagpal Rajesh Garg Sunil P. Khatri Department.
Carlos Arthur Lang Lisbôa, Luigi Carro, Erika Cota ETS 2005 RobOps - Arithmetic Operators for Future Technologies Future technologies, bellow 90nm, will.
6/17/2015Spectral Testing1 Spectral Testing of Digital Circuits An Embedded Tutorial Vishwani D. Agrawal Agere Systems Murray Hill, NJ 07974, USA
5/7/2007VTS'071 Delay Test Quality Evaluation Using Bounded Gate Delays Soumitra Bose Intel Corporation, Design Technology, Folsom, CA Vishwani D.
Carlos Arthur Lang Lisbôa, Luigi Carro DATE EDAA PhD Forum Dealing with Multiple Simultaneous Faults in Future Technologies INFORMÁTICA Universidade.
Independence Fault Collapsing
Embedded Systems Laboratory Informatics Institute Federal University of Rio Grande do Sul Porto Alegre – RS – Brazil SRC TechCon 2005 Portland, Oregon,
Carlos Arthur Lang Lisbôa, Erik Schüler, Luigi Carro SRC TechCon 2005 Dealing with Multiple Simultaneous Faults in Future Technologies in Future Technologies.
Carlos Arthur Lang Lisbôa, Erik Schüler, Luigi Carro SRC TechCon 2005 Dealing with Multiple Simultaneous Faults in Future Technologies in Future Technologies.
Spring 07, Apr 17, 19 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Soft Errors and Fault-Tolerant Design Vishwani.
1 Enhancing Random Access Scan for Soft Error Tolerance Fan Wang* Vishwani D. Agrawal Department of Electrical and Computer Engineering, Auburn University,
Título do capítulo An international center of excellence in human resources, research and technology generation in the fields of Computer Science and Engineering.
1 Efficient Analytical Determination of the SEU- induced Pulse Shape Rajesh Garg Sunil P. Khatri Department of ECE Texas A&M University College Station,
VLSI Arithmetic Adders & Multipliers Prof. Vojin G. Oklobdzija University of California
Instituto de Informática and Dipartimento di Automatica e Informatica Universidade Federal do Rio Grande do Sul and Politecnico di Torino Porto Alegre,
Robust Low Power VLSI ECE 7502 S2015 Analog and Mixed Signal Test ECE 7502 Class Discussion Christopher Lukas 5 th March 2015.
SiLab presentation on Reliable Computing Combinational Logic Soft Error Analysis and Protection Ali Ahmadi May 2008.
Soft errors in adder circuits Rajaraman Ramanarayanan, Mary Jane Irwin, Vijaykrishnan Narayanan, Yuan Xie Penn State University Kerry Bernstein IBM.
Canary SRAM Built in Self Test for SRAM VMIN Tracking
European Test Symposium, May 28, 2008 Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence, RI Kundan.
Robust Low Power VLSI ECE 7502 S2015 Minimum Supply Voltage and Very- Low-Voltage Testing ECE 7502 Class Discussion Elena Weinberg Thursday, April 16,
Sill Torres, Bastos: mBBICS Robust Modular Bulk Built-In Current Sensors for Detection of Transient Faults Frank Sill Torres +, Rodrigo Possamai Bastos*
1 3D Simulation and Analysis of the Radiation Tolerance of Voltage Scaled Digital Circuits Rajesh Garg Sunil P. Khatri Department of ECE Texas A&M University.
SET Fault Tolerant Combinational Circuits Based on Majority Logic
Precision analysis of Geant4 condensed transport effects on energy deposition in detectors M. Batič 1,2, G. Hoff 1,3, M. G. Pia 1 1 INFN Sezione di Genova,
Detecting Errors Using Multi-Cycle Invariance Information Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence,
Using Memory to Cope with Simultaneous Transient Faults Authors: Universidade Federal do Rio Grande do Sul Programa de Pós-Graduação em Engenharia Elétrica.
Hrushikesh Chavan Younggyun Cho Structural Fault Tolerance for SOC.
UNCLASSIFIED Impact of Complex Material Systems on the Radiation Response of Advanced Semiconductors Robert A. Reed Institute for Space and Defense Electronics.
Varadarajan Srinivasan, Julian W. Farquharson,
Eduardo L. Rhod, Álisson Michels, Carlos A. L. Lisbôa, Luigi Carro ETS 2006 Fault Tolerance Against Multiple SEUs using Memory-Based Circuits to Improve.
TEMPLATE DESIGN © A Comparison-Free Sorting Algorithm Saleh Abdel-hafeez 1 and Ann Gordon-Ross 2 1 Jordan University of.
Paper by F.L. Kastensmidt, G. Neuberger, L. Carro, R. Reis Talk by Nick Boyd 1.
November 25Asian Test Symposium 2008, Nov 24-27, Sapporo, Japan1 Sequential Circuit BIST Synthesis using Spectrum and Noise from ATPG Patterns Nitin Yogi.
Gill 1 MAPLD 2005/234 Analysis and Reduction Soft Delay Errors in CMOS Circuits Balkaran Gill, Chris Papachristou, and Francis Wolff Department of Electrical.
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
Topic: N-Bit parallel and Serial adder
ELEC 7950 – VLSI Design and Test Seminar
July 10, th VLSI Design and Test Symposium1 BIST / Test-Decompressor Design using Combinational Test Spectrum Nitin Yogi Vishwani D. Agrawal Auburn.
MAPLD 2005/213Kakarla & Katkoori Partial Evaluation Based Redundancy for SEU Mitigation in Combinational Circuits MAPLD 2005 Sujana Kakarla Srinivas Katkoori.
VLSI Testing Lecture 5: Logic Simulation
SE-Aware HPC Extension : Selective Data Protection for reducing failures due to soft errors 7/20/2006 Kyoungwoo Lee.
Soft Error Analysis of FPGA under ISO Standard
VLSI Testing Lecture 5: Logic Simulation
Vishwani D. Agrawal Department of ECE, Auburn University
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
Arithmetic Operators Robust to Multiple Simultaneous Upsets
Soft Error Rates with Inertial and Logical Masking
Software Watermarking Deterring Software Piracy
Dual Mode Logic An approach for high speed and energy efficient design
Design of a ‘Single Event Effect’ Mitigation Technique for Reconfigurable Architectures SAJID BALOCH Prof. Dr. T. Arslan1,2 Dr.Adrian Stoica3.
Advancement on the Analysis and Mitigation of
Seminar Tittles 1-Modeling and Optimization of soft-error reliability of Sequential circuits. 2-Statistical Estimation of Sequential Circuit Activities.
Unconstraint Optimal Selection of Side Information for Histogram Shifting Based Reversible Data Hiding Source:  IEEE Access. March, doi: /ACCESS
Presentation transcript:

PhD Student: Carlos Arthur Lang Lisbôa Advisor: Luigi Carro VLSI-SoC PhD Forum Low overhead system level approaches to deal with multiple and long duration transient faults in future technologies INFORMÁTICA Universidade Federal do Rio Grande do Sul - UFRGS Instituto de Informática, Pós-Graduação em Ciência da Computação Grupo de Microeletrônica (GME) - Laboratório de Sistemas Embarcados (LSE) Porto Alegre - RS BRAZIL Phone CMOS technologies beyond the 45 nm node will present devices that will be subject to radiation induced transients lasting longer than the predicted clock cycle of circuits. In this scenario, techniques based on temporal redundancy will no longer succeed, while those based on spatial redundancy will still imply high overheads. Therefore, innovative low cost techniques, working at system or algorithm level, will be required to cope with this type of faults. Prediction of Long Duration Transient (LDTs) [1] Vertical bars show predicted transient widths for 20 Mev-cm 2 /mg Lines show predicted cycle times for different inverter chains Duration of transients extracted from [2] and [3] Even low energy particles may cause long duration transients Why temporal redundancy schemes, such as [4, 5], will no longer succeed ? check the outputs twice samples separated by a delay delay must be longer than expected transient width long transients imply heavy penalties [1]Lisboa, C. A., and Carro, L. System Level Approaches for Mitigation of Long Duration Transient Faults in Future Technologies, Proc. of 12 th European Test Symposium – ETS [2]Dodd, P. E., et al., Production and propagation of Single-Event Transients in High-Speed Digital Logic ICs, IEEE Tr. on Nuc. Science, Vol 51, No 6, Part 2, IEEE Comp. Soc., Los Alamitos, CA, Dec [3] Statistical Analysis of the Charge Collected in SOI and Bulk Devices Under Heavy Ion and Proton Irradiation - Implications for Digital SETs, Ferlet-Cavrois et al, IEEE Tr. on Nuc. Sci., Vol. 53 No. 6, Nov [4]Anghel, L. and Nicolaidis, M., Cost Reduction and Evaluation of a Temporary Faults Detection Technique, in Proc. of Design, Automation and Test in Europe Conference (DATE 2000), ACM Press, New York, NY, USA, March, [5]S. Mitra, N. Seifert, M. Zhang, Q. Shi, and K. S. Kim, "Robust system design with built-in soft-error resilience", Computer, Vol. 38, No 2, A case study: low overhead error detection in matrix multiplication [1] (a) Hardware implementation (sequential circuit, 1120 lines of VHDL code, parameterized by n) (b) Software implementation Calculate: Vector Cr, where Cr i = C i1 + C i C in (1) Vector Br, where Br i = B i1 + B i B in (2) Vector ABr, where ABr i = n k=1 A ik. Br k (3) If ABr Cr, there was an error Future work Low cost recomputation techniques for matrix multiplication upon error detection Use of similar approaches to harden other frequently used algorithms for embedded systems Validation of the proposed techniques through application to harden a complete SoC Time comparison - software (number of * and + operations) Time comparison in s (*)