October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.

Slides:



Advertisements
Similar presentations
April 30, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Advertisements

Normal text - click to edit Status Report TPC Electronics Meeting, CERN Johan Alme & Ketil Røed, UoB.
June 11, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Alice EMCAL Meeting, July 2nd EMCAL global trigger status: STU design progress Olivier BOURRION LPSC, Grenoble.
October 8, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
LAV firmware status Francesco Gonnella Mauro Raggi 23 rd May 2012 TDAQ Working Group Meeting.
Marseille 30 January 2013 David Calvo IFIC (CSIC – Universidad de Valencia) CLB: Current status and development on CLBv2 in Valencia.
1 © 2003, Cisco Systems, Inc. All rights reserved. CCNA 3 v3.0 Module 6 Switch Configuration.
1 © 2003, Cisco Systems, Inc. All rights reserved. CCNA 3 v3.0 Module 6 Switch Configuration.
1 CCNA 3 v3.1 Module 6 Switch Configuration Claes Larsen, CCAI.
SOC Consortium Course Material ASIC Logic National Taiwan University Adopted from National Chiao-Tung University IP Core Design.
P. Jansweijer Nikhef Amsterdam Electronics- Technology October 15, 20091VLVnT-09 Athens Measuring propagation delay over a coded serial communication channel.
Remote Firmware Down Load. Xilinx V4LX25 Altera Stratix Control Altera Stratix Control Xilinx V4FX20 EPROM XCF08 EPROM XCF08 EPROM EPC16 EPROM EPC16 EPROM.
February 26, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
August 06, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
© 2004, D. J. Foreman 1 Computer Organization. © 2004, D. J. Foreman 2 Basic Architecture Review  Von Neumann ■ Distinct single-ALU & single-Control.
July 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
© 2004, D. J. Foreman 1 Computer Organization. © 2004, D. J. Foreman 2 Basic Architecture Review  Von Neumann ■ Distinct single-ALU & single-Control.
May 29, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology Shore station brainstorm 1.
December 04, 2013KM3NeT, CLBv2 Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
January 28, 2015CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
August 22, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
July, IFIC (CSIC – Universidad de Valencia) CLB: MULTIBOOT 1.
January 28-30, 2014KM3NeT, Electronics Workshop A‘dam Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Receiving 91 PB this week: A little bit more of work load! (We will be for a while production guys :) Test bench separated in two parts: 1.- The PB will.
NIKHEF 2014 David Calvo IFIC (CSIC – Universidad de Valencia) Time to Digital Converters for KM3NeT Data Readout System.
December 10, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
S.Anvar, V.Gautard, H.Le Provost, F.Louis, K.Menager, Y.Moudden, B.Vallage, E.Zonca, on behalf of the KM3NeT consortium 1 IRFU/SEDI-CEA Saclay F
© Copyright 2010 Xilinx ML605 MultiBoot Design May 2010 © Copyright 2010 Xilinx XTP043.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 14, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
29 Oct, 2014 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
November 2014, Groningen/Dwingeloo, the Netherlands 3rd International VLBI Technology Workshop Peter Jansweijer Nikhef Amsterdam Electronics- Technology.
LM32 DEVELOPMENTS ONGOING WORK ON TDCs AND OTHER ISSUES (LM32) Diego Real David Calvo CLB group online meeting, 27 March
Genova May 2013 Diego Real – David Calvo IFIC (CSIC – Universidad de Valencia) CLBv2 1.
March 27, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
© ABB Entrelec AC 500 Page SD Memory Card Insert image here The Application of the SD Memory Card Advant Controller 500.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology November 21, 2012KM3NeT, CLBv2 Meeting via EVO KM3NeT CLBv2 1.
CLB meeting APC Tentative action/work list.
DHH Status Igor Konorov TUM, Physics Department, E18 PXD DAQ workshop Münzenberg –June 9-10, 2011.
3 Dec, 2013 IFIC (CSIC – Universidad de Valencia) CLB: Current status and development.
Firmware and Software for the PPM DU S. Anvar, H. Le Provost, Y.Moudden, F. Louis, E.Zonca – CEA Saclay IRFU – Amsterdam/NIKHEF, 2011 March 30.
May 8, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
Peter Jansweijer Nikhef Amsterdam Electronics- Technology September 19, 2012KM3NeT, CLB/DAQ Videocon KM3NeT CLBv2 1.
July 31, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
IRFU The ANTARES Data Acquisition System S. Anvar, F. Druillole, H. Le Provost, F. Louis, B. Vallage (CEA) ACTAR Workshop, 2008 June 10.
April 10, 2013KM3NeT, CLBv2 Meeting Peter Jansweijer Mesfin Gebyehu Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
September 11-12, 2013KM3NeT, CLBv2 Workshop Valencia Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1.
P. Jansweijer Nikhef Amsterdam Electronics- Technology Amsterdam July 5-6, 2010KM3NeT: General WPF/L meeting 1 Measuring time offset over a bidirectional.
Off & On Shore Electronics overview KM3Net APC Paris 05 / 09 / 2012 Frédéric LOUIS.
Data Acquisition, Diagnostics & Controls (DAQ)
White Rabbit and KM3NeT Peter Jansweijer, on behalf of KM3NeT
Diego Real, IFIC Spain, KM3NeT Electronics Coordinator
AMC13 Project Status E. Hazen - Boston University
Firmware for the CPLD on the RCU
WR & KM3NeT Peter Jansweijer
“FPGA shore station demonstrator for KM3NeT”
CLB: Current status and development
KM3NeT CLBv2.
KM3NeT CLBv2.
KM3NeT CLBv2.
Erno DAVID, Tivadar KISS Wigner Research Center for Physics (HU)
FrontEnd LInk eXchange
Kostas Manolopoulos Tasos Belias
KM3NeT CLBv2.
MULTIBOOT AND SPI FLASH MEMORY
Hall A Compton Electron detector overview
Online Software “To Do” List
New Crate Controller Development
Computer Organization
Presentation transcript:

October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology KM3NeT CLBv2 1

October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology ICAPE2 2 Very first Read cycle to ICAPE2 failed. Empirically found that the module needs an Abort sequence after Rst. Information from Xilinx-FEA was not really helpful. Documentation keeps being crappie. Newest multiboot sources should work (SVN rev. 1201)

October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology TestGui Firmware image update 3 CLBV2_2_1: ◦ 2.26, 2.28, 2.32, 2.33, 2.34, 2.35, 2.36, 2.37, 2.38, 2.39 and 2.40 fail to configure after configuration via the TestGui. Procedure:  Upload tagged rev140917_00 via Xilinx Download Cable: make TARGET=CLBV2_2_1 upload  Fimware update image 0 and 1 via TestGUI  Re-power => fail to configure! Investigation… Use Impact once to program an “MCS” file to the Flash memory. Next using the TestGui works fine !? Was the Flash locked or put into an unknown state during production and testing? What tests were done and what firmware was on each of them? Definitely something to sort out further! We need an Elog per “electronics-item”!

October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology StMach Front-end Enables 4 Front-End enable gets asserted, the clock tick after “time-slice start” such that the first enabled “Time-Slice" marker is not written into the front-end FIFOs although it is written to the Time-Slice FIFO (storing the Time Slice time stamp). Enables are handles per Time-Slice Verified that TDC/AES/MCH start/stop properly. Verified with David. State Machine might need a cleanup of the source code. Time-Slice Start LM32 Enable Time-Slice FIFOs (We) Front_end Enables Front-End Time-Slice markers

October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Possible Auto Negotiation deadlock 5 In the future it should be possible to communicate between the WR- LM32 and the LM32-2 nd (Slow-Control) to set for example yes/no Auto Negotiation. The possible dealock: If I remember well: ◦ The broadcast switch setup needs AutoNeg “off”. ◦ Standard switch setup (at least a WR switch) needs AutoNeg “on”. Also something to sort out further… We need a link that is UP to change the AutoNeg setting via Slow-Control The link only gets UP if AutoNeg has the proper setting

October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Todo list 6 Fix State Machine, TDC, AES and MCH (David, Antonio, me) Re-arrange MCH channel (proper time-tag; not lagging one time-slice => me) Flow-Control study: ◦ define system level actions when frontends overflow ◦ Implementing LM32 IRQ (Front-End FIFO full status) ICAPE2 / multiboot / watchdog / golden image tests Software: Communication interface between LM32_2 nd and LM32_WR ◦ SFP readout and setting (loopback, PRBS, wavelength tuning) ◦ Auto negotiation control (pay attention to deadlock) Ethernet flow control (received pause frame implementation in the CLB)

October 29, 2014CLBv2, Vidyo Peter Jansweijer Nikhef Amsterdam Electronics- Technology Backup slides 7