Presentation is loading. Please wait.

Presentation is loading. Please wait.

2001 ITRS Factory Integration ITWG

Similar presentations


Presentation on theme: "2001 ITRS Factory Integration ITWG"— Presentation transcript:

1 2001 ITRS Factory Integration ITWG
ITRS Factory Integation TWG 2017/3/27 2001 ITRS Factory Integration ITWG Jeff Pettinato, Intel FITWG 2000

2 ITRS Factory Integation TWG
2017/3/27 Agenda Scope and Factory Drivers Difficult Challenges Need for Integrated Solutions Key Technology Requirements Solutions Being Driven by Technology Requirements Summary FITWG 2000

3 Many International members have contributed to FI
Excellent Participation from Suppliers, IC Makers, Universities, and Research Institutes Many International members have contributed to FI

4 ITRS Factory Integation TWG
2017/3/27 2001 Factory Integration Scope Includes Wafer, Chip and Product Manufacturing The Factory Wafer Mfg. Chip Mfg. Product Mfg. Si Substrate Mfg. Distribution FEOL BEOL Probe/Test Singulation Packaging Test New in 2001 The Factory is driven by Cost and Productivity: Reduce factory capital and operating costs per function Enable efficient high-volume production with operational models for varying product mixes (high to low) and other business strategies Increase factory and equipment reuse, reliability, and overall efficiency Quickly enable process technology shrinks and wafer size changes FITWG 2000

5 Factory Integration Requirements and Solutions are Expressed through 6 Functional Areas
Production Equipment Process and Metrology equipment Mainframe and process chambers Wafer Handling Robots, Load Ports Internal software & computers Process Equipment UI Facilities Cleanroom, Labs, Central Utility Building Facilities Control and Monitoring Systems Power, Plumbing, HVAC, Utilities, Pipes, UPS Life safety systems, waste treatment Factory Operations Policies and procedures used to plan, monitor and control production Direct factory labor Test Manufacturing Prober, Handler, and Test Equipment Manufacturing processes to test wafers and chips Material Handling Systems Wafer and Reticle Carriers Automated storage systems Interbay & intrabay transport systems Personnel guided vehicles Internal Software & computers Factory Information & Control Data and Control systems required to run the factory Decision support Process control Plan, Schedule, Dispatch Computers, databases, software outside equipment AMHS Eqpt (side view) DB Management Document MES MCS Network or Bus DSS Controllers Station APC Scheduling + Dispatching

6 New Elements/Focus in the 2001 Factory Integration Chapter
New Factory Operations metrics as key targets for other factory functional areas to solve in an integrated fashion 1) Factory Cycle time, 2) multiple lots per carrier and single wafer processing, 3) labor efficiency, 4) time to money Focus on e-Factory capabilities to solve Production Equipment Overall Equipment Efficiency (OEE) through Use of Internet, process control, rich data sources, and standards Refinement of Direct transport solutions for 300mm Expanded facilities section with revised technology requirement and potential solutions New Test section to address equipment & manufacturing Introduction of a Fab factory Cost Model based on 300mm, 20k wspw, high volume factory (key focus to extend in 2002) Discussion on 450mm wafer size timing and Mfg Paradigms

7 ITRS Factory Integation TWG
2017/3/27 2001 Difficult Challenges > 65nm through 2007 < 65nm after 2007 Managing Complexity Quickly and effectively integrating rapid changes in semiconductor technologies and market conditions Factory Optimization Productivity increases are not keeping pace with needs Flexibility, Extendibility, Scalability Ability to quickly convert to new semiconductor technologies while reusing equipment, facilities, and skills Post CMOS Manufacturing Uncertainty Inability to predict factory requirements associated with post CMOS novel devices 450mm Wafer Size Conversion Timing and manufacturing paradigm for this wafer size conversion Will 450mm be a scale up of 300mm or a more radical change in manufacturing technology (single wafer carriers, etc.) FITWG 2000

8 Integrated Solutions are Essential to Meet Needs +
Technology Requirements New disruptive process technologies 157nm litho High K gate stack Low k dielectrics Copper processing + Operational Productivity & Business drivers Decreased Factory Cycle Time (QTAT) and time to market Improved Equipment OEE Reduction in non-product (I.e. test) wafer usage More efficient direct labor Faster factory conversion at technology nodes Integrated Solutions Agile Manufacturing - Equipment Engineering Systems - EES - Single wafer control - e-Diagnostics Process Control - Fault Detection & Classification - Run to Run & Wafer to Wafer control Integrated Metrology Machine to machine matching Material Handling - Direct Transport AMHS - AMHS to support Send-Ahead, gating monitors, and very hot lots - Integrated Sorters, Stockers, Metrology Integrated Factory Goal = Meet Factory Challenges and Technology Requirements

9 Key Factory Operations, Production Equipment, and Facilities Technology Requirements

10 Key Material Handling, Factory Info and Control Systems, and Test Mfg Technology Requirements

11 Potential Solution it is driving
Translating Factory Operations, Production Equipment, and Facilities Metrics to Reality Metric Potential Solution it is driving Hot-Lot and regular lot cycle time per mask layer (days) a) Direct transport systems integrated with schedulers for tool to tool moves, b) Agile manufacturing leveraging internet technologies, c) Innovative carrier and wafer level control Wafer Layers / Day / Headcount a) Fully automated material handling & data sys Multiple lots per carrier a) Embedded controller standards Groundbreaking to first tool move in a) Standardized design concepts, b) Design tools including e-tools, d) More off-site fabrication First tool move-in to first full loop out a) Standard equipment interfaces to reduce integration time Overall Equipment Efficiency (OEE) a) Minimize MTTR by e-Diagnostics, b) Advanced Process Control, c) Equipment Engineering Systems, e) Optimized Wafer and Low movement Capital Reuse from one node to the next a) Common main-frame and chamber interfaces, b) High exchangeability of chamber modules / parts Production Equipment Install & Qualification cost as a % of capital cost a) Earlier involvement with new technology tool designers, b) Design for tool install emphasis, c) Standard / consistent equipment connections

12 Direct Transport Concepts for Tool to Tool Delivery – Production Need date is 2005
Central Stocker (Large Capacity) (High Throughput) Conveyorized transport Upper Ceiling OHT Branch Under Floor Full Direct Transport Concept #1: Integrated Overhead Hoist Transport (OHT) systems Concept #2: Integrated Overhead Hoist Transport (OHT) systems Back

13 Agile Manufacturing Means…
Quick turn around [*faster cycle] time for production Quick turn around time without productivity deterioration No productivity reduction even if many lots production formed with few wafers Quick capability to product, scale and technology change Quick ramp-up of equipment installation and product Assure high productivity even if low production volume Which can be Realized by… Internet technologies for B2B, Factory to Factory, Internal factory connectivity and communications Supply Chain Management (SCM) Integrated Planning, Scheduling, and Real Time Dispatching Flexible Material Handling and Data Automation Systems Single Wafer Control and Tracking Multiple lots/single wafer control in equipment module (group)

14 Carrier and Wafer Level Control Concepts for Rapid Material Movement and Processing
Staging Carrier Equipment Supplier A Supplier B Supplier C Process Tools Stockers OHT Loop Sorter Metro Tools Process Tools Stocker robot interfaces directly with Sorters and Metro equip Concept #1: Sorter and Metrology linked with Stockers Concept #2: Connected Equipment Front End Modules for Rapid Wafer processing and Inspection Back

15 Potential Solution it is driving
Translating Factory Operations, Production Equipment, and Facilities Metrics to Reality Metric Potential Solution it is driving Hot-Lot and regular lot cycle time per mask layer a) Direct transport systems integrated with schedulers for tool to tool moves, b) Agile manufacturing leveraging internet technologies, c) Innovative carrier and wafer level control Wafer Layers / Day / Headcount a) Fully automated material handling & data sys Multiple lots per carrier a) Embedded controller standards Groundbreaking to first tool move in a) Standardized design concepts, b) Design tools including e-tools, d) More off-site fabrication First tool move-in to first full loop out a) Standard equipment interfaces to reduce integration time Overall Equipment Efficiency (OEE) a) Minimize MTTR by e-Diagnostics, b) Advanced Process Control, c) Equipment Engineering Systems, e) Optimized Wafer and Low movement Capital Reuse from one node to the next a) Common main-frame and chamber interfaces, b) High exchangeability of chamber modules / parts Production Equipment Install & Qualification cost as a % of capital cost a) Earlier involvement with new technology tool designers, b) Design for tool install emphasis, c) Standard / consistent equipment connections

16 Factory Information and
Continued Standardization is needed to Reduce Integration Time, Cost, and Complexity Factory Information and Control Systems Factory Network SECS-II GEM HSMS Utilization tracking standard Enhanced parallel I/O standards Carrier mgt Process Job, Control Job standards Wafer level tracking & control standards Carrier ID standard Mainstream Computer Communications stds Manufacturing Execution Systems Decision Support Production equipment Process Control User Interfaces Other Standard for data formats, data access, and inter application interfaces APC, Fault Detection & Classification, Integrated metrology, EES, e-Diagnostics Equip Eng I/F Utility, fluids, exhaust, drains hook-up standards Facilities Systems Rear of production equipment Fab levels Maximum move-in size stds Sub-fab standards Raised floor height standards Wall interface Rear U/I Max weight standard Standards for facilities systems safety counter-measures Materials supply container standards Standards for install pedestals, jigs/fixture for alignment Work access standards to eliminate Ergo issues Cleanroom Noise Criteria standards Vibration stds Global Construction Codes Facility electrostatic levels stds Sub-fab level Cleanroom height Cleanroom Temperature & RH ranges Environment/ stds Ceiling level Legend: -> Standards Exist -> Standards Are Under Development -> Standards Are Needed Back

17 Potential Solution it is driving
Translating Factory Operations, Production Equipment, and Facilities Metrics to Reality Metric Potential Solution it is driving Hot-Lot and regular lot cycle time per mask layer a) Direct transport systems integrated with schedulers for tool to tool moves, b) Agile manufacturing leveraging internet technologies, c) Innovative carrier and wafer level control Wafer Layers / Day / Headcount a) Fully automated material handling & data sys Multiple lots per carrier a) Embedded controller standards Groundbreaking to first tool move in a) Standardized design concepts, b) Design tools including e-tools, d) More off-site fabrication First tool move-in to first full loop out a) Standard equipment interfaces to reduce integration time Capital Reuse from one node to the next a) Common main-frame and chamber interfaces, b) High exchangeability of chamber modules / parts Production Equipment Install & Qualification cost as a % of capital cost a) Earlier involvement with new technology tool designers, b) Design for tool install emphasis, c) Standard / consistent equipment connections Overall Equipment Efficiency (OEE) a) Minimize MTTR by e-Diagnostics, b) Advanced Process Control, c) Equipment Engineering Systems, e) Optimized Wafer and Low movement

18 1 2 3 Back

19 e-Manufacturing Hierarchy for Connectivity
ITRS Factory Integation TWG 2017/3/27 Company to Company (E-Commerce) Suppliers Company A Company B Factory to Factory (E-Factory) e-diagnostics capability Factory A Factory B firewall Within a Factory (E-Factory) Manufacturing Execution System - MES EES Equipment/AMHS Equipment Engineering System AMHS Eqpt (side view) Ethernet Process or Metrology Equipment (side view) Control System Material Control System - MCS Station Controller Semiconductor industry must continue to rapidly leverage mainstream technologies to reduce cost/complexity/integration time FITWG 2000

20 Potential Solution it is driving
Translating Material Handling, FICS, and Test Manufacturing Metrics to Reality Metric Potential Solution it is driving AMHS system throughput for interbay and intrabay a) Fundamental capability that permits AMHS system to transport hot lots, gating send-aheads and hand carry MTBF/MTTR for mission critical applications a) Equipment Engineering Systems, b) E-Diagnostic and c) E-manufacturing capabilities Time to create industry standards a) Improved business processes to speed up standard cycle time, b) Use Internet for balloting/approval Lead time for solutions to conform with standards a) Supplier/IC Maker collaboration to develop standards and solutions in parallel, b) Automated test tools for systematic interface testing 1st Article Test Equipment integration time change from previous year a) Standard interfaces for equipment control, b) Standards for test programs, c) Standard data formats for test results

21 Summary of Key Potential Solutions
Material Handling using Direct Transport To improve factory cycle time and fab space efficiency Agile Manufacturing Leveraging Internet capabilities E-Factory to delivery product more quickly (cycle time) Equipment Engineering System (EES) including E-Diagnostics capabilities Improve Overall Equipment Efficiency, cycle time, & factory output Advance Process Control (APC) Continued Need for Standards to reduce integration time, cost, and complexity Reduce integration time and complexity, enable pervasive implementation of capabilities above at lower cost

22 Some Key Messages 1. Rapid changes in process technologies, business requirements, market conditions, and accelerated ramps have made factories more complex and difficult to integrate 2. Future factories must be agile to meet rapid technology and business changes with cost effective solutions 3. Overall Equipment Efficiency must be drastically improved Equipment at each tech node must meet throughput and uptime metrics Solutions to improve utilization must be developed and implemented 4. Standards have been effective in 300mm. Cycle time to create and deploy must be drastically reduced for the future 5. Integrated Solutions are essential to meet needs 6. e-Factory concepts are being developed to solve complexity, integration and OEE issues Leverages the internet and rich data sources to achieve pervasive process control, fast lot cycle times, on-line equipment repair, and rapid lot delivery using factory wide scheduling and dispatching

23 Backup Area

24 Understanding & Managing Factory cost is a Key Focus for 2002+ (Fab Capital Cost Breakdown)
Baseline Model from 2001 Roadmap Production Equipment = 80% of Total Capital $2,160M for $2.7B factory Process and Metrology equipment Mainframe and process chambers Wafer Handling Robots Load Ports Installation, but not qualification Software & computers within the equipment Facilities = 15% of Total Capital $405M for $2.7B factory Cleanroom, Labs, Central Utility Building Facilities Control and Monitoring Systems Support buildings (Café, office, parking) Power, Plumbing, HVAC, Utilities, Pipes, UPS Life safety systems, waste treatment Includes site prep, but not land and off-site dev costs Process Equipment UI Assumptions Total Capital Cost = $2.7B Total Capacity = 40k wspm 300mm wafer size 130nm technology Material Handling Systems = 3% of Total Capital $81M for $2.7B factory Wafer and Reticle Carriers Carrier ID readers Automated storage systems Interbay & intrabay transport systems Personnel guided vehicles Software & computers within the equipment Factory Information & Control = 2% of Total Capital $54M for $2.7B factory Manufacturing execution systems Decision support systems Process Control systems Planning systems, Schedulers, Dispatching Material Control, document management systems Computers, databases, software outside equipment AMHS Eqpt (side view) DB Management Document MES MCS Network or Bus DSS Controllers Station APC Scheduling + Dispatching

25 Factories Must be Better integrated to solve Difficult Challenges
Factory Areas or Thrusts Factory Operations Material Handling Example: Manufacturing rules, production size, mix Facilities Examples: Building, cleanroom, utility systems, process fluid delivery Examples: AMHS Transport, storage, ID Systems, interface standards Factory Information and Control Systems Example: MES, Computers, Networks, Apps MCS, APC, etc Production Equipment Example: Equipment unit, real-time process control, interface standards, Embedded Control Technology Requirements and Potential Solutions are expressed through these factory areas Complexity Management Factory Optimization Extendibility, Flexibility, Scalability Difficult Challenges Reuse of building, production equipment, and factory information and control systems; Factory designs that support rapid process and technology changes/retrofits; Comprehend tighter ESH/Code requirements Increased customer expectation to meet on time delivery; Increased urgency for improved factory effectiveness, High factory yield at startup; Reduce wafer and product cost; Satisfy all local regulations Rapid changes to business needs & demands; Increasing process & product complexity; Larger wafers and carriers, Increased reliance on factory information & control systems


Download ppt "2001 ITRS Factory Integration ITWG"

Similar presentations


Ads by Google