Presentation is loading. Please wait.

Presentation is loading. Please wait.

Digital Electronics for Detector Prof. Amr Radi Director of ENHEP Ain-Shams University The British University in Egypt Prof. Amr RAdi4th Egyptian School.

Similar presentations


Presentation on theme: "Digital Electronics for Detector Prof. Amr Radi Director of ENHEP Ain-Shams University The British University in Egypt Prof. Amr RAdi4th Egyptian School."— Presentation transcript:

1 Digital Electronics for Detector Prof. Amr Radi Director of ENHEP Ain-Shams University The British University in Egypt Prof. Amr RAdi4th Egyptian School on High Energy Physics 1 Amr.radi@cern.ch

2 Outlines 1- Introduction to Analogue and Digital signal 2- CMS Muon Detector 3- Digital Logic circuits 4- Field Programming Gate Array 5- FPGA Application 6- Summary Prof. Amr RAdi4th Egyptian School on High Energy Physics 2

3 Analogue and Digital Signals? Prof. Amr RAdi4th Egyptian School on High Energy Physics 3

4 Analog Continuous  Time  Every time has a value associated with it, not just some times  Magnitude  A variable can take on any value within a range  e.g.  temperature, voltage, current, weight, length, brightness, color Analogue and Digital Signals

5 Digital vs. Analog Waveforms Analog: values vary over a broad range continuously Digital: only assumes discrete values Analogue and Digital Signals

6 Quantization

7 Digital Discontinuous  Time (discretized)  The variable is only defined at certain times  Magnitude (quantized)  The variable can only take on values from a finite set  e.g.  Switch position, digital logic, Dow-Jones Industrial, lottery, batting-average Analogue and Digital Signals

8 We seem to live in an analogue world – things can be louder or quieter, hotter or colder, longer or shorter, on a “sliding scale”. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 8 Analogue and Digital Signals

9 We seem to live in an analogue world – things can be louder or quieter, hotter or colder, longer or shorter, on a “sliding scale”. If we record sound on a tape recorder, we’re putting an analogue signal onto the tape. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 9

10 We seem to live in an analogue world – things can be louder or quieter, hotter or colder, longer or shorter, on a “sliding scale”. If we record sound on a tape recorder, we’re putting an analogue signal onto the tape. Digital signals aren’t on a sliding scale – they’re either ON or OFF. (We call these “1” and “0”.) There’s no “in between”. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 10 Analogue and Digital Signals

11 The problem with analogue signals is noise – hiss on the sound and speckle dots on the picture. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 11 Analogue and Digital Signals

12 The problem with analogue signals is noise – hiss on the sound and speckle dots on the picture. When we send a signal over a long distance, the signal gets weaker, so we need to boost (amplify) it. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 12 Analogue and Digital Signals

13 The problem with analogue signals is noise – hiss on the sound and speckle dots on the picture. When we send a signal over a long distance, the signal gets weaker, so we need to boost (amplify) it. The problem is that we end up boosting the noise as well. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 13 Analogue and Digital Signals

14 If we convert the signal into digital form, then send it, it still gets weaker and noise still creeps in. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 14 Analogue and Digital Signals

15 If we convert the signal into digital form, then send it, it still gets weaker and noise still creeps in. However, because it’s digital, the receiver can work out what the signal is supposed to look like behind all that noise, and reconstruct a “clean” signal. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 15 Analogue and Digital Signals

16 If we convert the signal into digital form, then send it, it still gets weaker and noise still creeps in. However, because it’s digital, the receiver can work out what the signal is supposed to look like behind all that noise, and reconstruct a “clean” signal. So we don’t end up boosting the noise along with the signal. This is why you get such good pictures on your digital satellite TV. Analogue signals can vary in frequency, amplitude, or both. Next >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 16 Analogue and Digital Signals

17 Analogue signals suffer from noise, but don’t need such complex equipment. Digital signals need fast, clever electronics, but we can get rid of any noise. Plenary >> Prof. Amr RAdi4th Egyptian School on High Energy Physics 17 Analogue and Digital Signals

18 Analogue signals suffer from noise, but don’t need such complex equipment. Digital signals need fast, clever electronics, but we can get rid of any noise. We can also use compression techniques to squeeze a lot of information in. Fibre optic cables have a huge bandwidth because light is such a high-frequency wave End Prof. Amr RAdi4th Egyptian School on High Energy Physics 18 Analogue and Digital Signals

19 Detector? Prof. Amr RAdi4th Egyptian School on High Energy Physics 19

20 The CMS Detector 20Prof. Amr RAdi4th Egyptian School on High Energy Physics

21 The muon system for the CMS 21Prof. Amr RAdi4th Egyptian School on High Energy Physics

22 22 Principle Aims of the CMS Muon Detector 1.Muon Identification. 2.Muon Momentum Measurement. 3.Triggering on Muons Prof. Amr RAdi4th Egyptian School on High Energy Physics

23 The drift tube Layout 23Prof. Amr RAdi4th Egyptian School on High Energy Physics

24 Cathode strip chamber Layout 24Prof. Amr RAdi4th Egyptian School on High Energy Physics

25 Resistive plate chamber Layout 25Prof. Amr RAdi4th Egyptian School on High Energy Physics

26 Muon Track Finder Trigger Processor Prof. Amr RAdi4th Egyptian School on High Energy Physics 26

27 Prof. Amr RAdi4th Egyptian School on High Energy Physics 27 Muon track finder trigger

28 Prof. Amr RAdi4th Egyptian School on High Energy Physics 28

29 Prof. Amr RAdi CMS DAQ/Trigger Architectures CMS “Telecoms Network” ~ 1 Tbps Fully custom PP ASICs CPUs Commodity PCs Programmable Logic DIGITAL 4th Egyptian School on High Energy Physics 29

30 Principle of data acquisition Prof. Amr RAdi4th Egyptian School on High Energy Physics 30

31 Principle of data acquisition Prof. Amr RAdi4th Egyptian School on High Energy Physics 31

32 Muon track finder trigger Size of detector system r = 14 m, length = 20 m  cable delay ~ 5 ns/m -> synchronization Each 25 ns new data set 240 detector modules – 200.000 detector cells Identify particles (muons) Measure curvature = momentum of particles within 400 ns Prof. Amr RAdi4th Egyptian School on High Energy Physics 32

33 The CMS Detector 33Prof. Amr RAdi4th Egyptian School on High Energy Physics 33

34 Muon track finder trigger Prof. Amr RAdi4th Egyptian School on High Energy Physics 34

35 Muon track finder trigger 200.000 sensors -> 240 chambers x 2 track segments = 480 track segments 1 track segment position (phi): 12 bits angle (phi b ): 10 bits quality code: 3 bits 25 bits * 480 track segment = 12000 bits 12000 bits * 40 MHz = 480 Gbit/s Prof. Amr RAdi4th Egyptian School on High Energy Physics 35

36 Muon track finder trigger How? Prof. Amr RAdi4th Egyptian School on High Energy Physics 36

37 Muon track finder trigger Prof. Amr RAdi4th Egyptian School on High Energy Physics 37

38 Muon track finder trigger Prof. Amr RAdi4th Egyptian School on High Energy Physics 38

39 Muon track finder trigger Prof. Amr RAdi4th Egyptian School on High Energy Physics 39

40 Muon track finder trigger Prof. Amr RAdi4th Egyptian School on High Energy Physics 40

41 Muon track finder trigger Where? How ? Prof. Amr RAdi4th Egyptian School on High Energy Physics 41

42 Prof. Amr RAdi CMS CERN LHC Particle Physics Electronics Custom Electronics Chips ASICs ANALOGUE $$$ Rad Hard, Low Power 4th Egyptian School on High Energy Physics 42

43 Prof. Amr RAdi CMS CERN LHC Electronics Rooms Particle Physics Electronics Trigger Systems. DAQ Systems. DIGITAL Custom Electronics Chips ASICs ANALOGUE $$$ Rad Hard, Low Power Custom Digital Processing Boards VME Bus Crates 4th Egyptian School on High Energy Physics 43

44 Prof. Amr RAdi Digital Logic Circuits Logic Gates 4th Egyptian School on High Energy Physics 44

45 Prof. Amr RAdi Digital Logic Circuits Logic Gates Transistor Switches < 40 nm ! $$$ 4th Egyptian School on High Energy Physics 45

46 Moore’s Law 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 46 Note the logarithmic vertical scale; the line corresponds to exponential growth with transistor count doubling every two years.

47 Adder Design an Adder for 1-bit numbers? 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 47

48 Adder Design an Adder for 1-bit numbers? 1. Specification: 2 inputs (X,Y) 2 outputs (C,S) 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 48

49 Adder Design an Adder for 1-bit numbers? 1. Specification: 2 inputs (X,Y) 2 outputs (C,S) 2. Formulation: Ahmad Almulhem, KFUPM 2009 XYCS 0000 0101 1001 1110 49

50 Adder Design an Adder for 1-bit numbers? 1. Specification: 3. Optimization/Circuit 2 inputs (X,Y) 2 outputs (C,S) 2. Formulation: XYCS 0000 0101 1001 1110 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 50

51 Half Adder This adder is called a Half Adder Q: Why? XYCS 0000 0101 1001 1110 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 51

52 Full Adder A combinational circuit that adds 3 input bits to generate a Sum bit and a Carry bit 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 52

53 Full Adder A combinational circuit that adds 3 input bits to generate a Sum bit and a Carry bit XYZCS 00000 00101 01001 01110 10001 10110 11010 11111 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 53

54 Full Adder A combinational circuit that adds 3 input bits to generate a Sum bit and a Carry bit XYZCS 00000 00101 01001 01110 10001 10110 11010 11111 X YZ 0101 00 01 11 10 0 1 1 0 1 0 X YZ 0101 00 01 11 10 0 0 1 0 0 1 1 1 Sum Carry S = X’Y’Z + X’YZ’ + XY’Z’ +XYZ = X  Y  Z C = XY + YZ + XZ 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 54

55 Full Adder = 2 Half Adders Manipulating the Equations: S = X  Y  Z C = XY + XZ + YZ 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 55

56 Full Adder = 2 Half Adders Manipulating the Equations: S = ( X  Y )  Z C = XY + XZ + YZ = XY + XYZ + XY’Z + X’YZ + XYZ = XY( 1 + Z) + Z(XY’ + X’Y) = XY + Z(X  Y ) 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 56

57 Full Adder = 2 Half Adders Manipulating the Equations: S = ( X  Y )  Z C = XY + XZ + YZ = XY + Z(X  Y ) Src: Mano’s Book Think of Z as a carry in 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 57

58 Bigger Adders How to build an adder for n-bit numbers? Example: 4-Bit Adder Inputs ? Outputs ? What is the size of the truth table? How many functions to optimize? 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 58

59 Bigger Adders How to build an adder for n-bit numbers? Example: 4-Bit Adder Inputs ? 9 inputs Outputs ? 5 outputs What is the size of the truth table? 512 rows! How many functions to optimize? 5 functions 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 59

60 Binary Parallel Adder To add n-bit numbers: Use n Full-Adders in parallel The carries propagates as in addition by hand Use Z in the circuit as a C in 1 0 0 0 0 1 0 1 0 1 1 0 1 0 1 1 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 60

61 Binary Parallel Adder To add n-bit numbers: Use n Full-Adders in parallel The carries propagates as in addition by hand This adder is called ripple carry adder Src: Mano’s Book 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 61

62 Ripple Adder Delay Assume gate delay = T 8 T to compute the last carry Total delay = 8 + 1 = 9T 1 delay form first half adder Delay = (2n+1)T Src: Course CD 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 62

63 Subtraction (2’s Complement) How to build a subtractor using 2’s complement? 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 63

64 Subtraction (2’s Complement) How to build a subtractor using 2’s complement? 1 S = A + ( -B) Src: Mano’s Book 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 64

65 Adder/Subtractor How to build a circuit that performs both addition and subtraction? 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 65

66 Adder/Subtractor Src: Mano’s Book Using full adders and XOR we can build an Adder/Subtractor! 0 : Add 1: subtract 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 66

67 Logic circuits may be implemented …  on single chip, or  using many chips interconnected on a printed circuit board (PCB) Main types of IC chips are:  Standard chips  Custom chips  Programmable Logic Devices (PLD) Basic Integrated circuit (IC) Chip Types 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 67

68 Small number of transistors (< 100) Simple and fixed functions Logic designer must decide how to interconnect multiple chips for desired function Agreed upon / standard functionality Popular in the 1980s – too large in physical size for much industry use now (good for teaching though!) 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 68 Standard Chips

69 The 7400 NAND Chip: pin layout The equivalent logic layout 7400 Series TTL Logic Chips 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 69

70 Implementing f = x 1 x 2 + x 2 'x 3 using 7400 series ICs 7400 Series Implementation 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 70

71 Why TTL is Only Used For Small Systems 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 71

72 Custom chips  Logic designer builds a custom chip  Manufactured by a special fabrication facility ($$$!) ASIC: Application Specific Integrated Circuit  Fast, small  Expensive! And takes time to build and manufacture 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 72 Custom Chips

73 Programmable chips – functionality determined by the designer  Can even be reprogrammed Can handle more complex functions than standard chips (approx. 100 million transistors per PLD)  FPGA: Field Programmable Gate Arrays  CPLD: Complex Programmable Logic Devices  PAL: Programmable Array Logic  PLA: Programmable Logic Arrays These are used very extensively in industry 4th Egyptian School on High Energy PhysicsProf. Amr RAdi 73 Programmable Logic Devices (PLD)

74 Prof. Amr RAdi Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Planes of ANDs, ORs Inputs Outputs ANDs ORs 4th Egyptian School on High Energy Physics 74 Programmable Logic Devices PLDs

75 Prof. Amr RAdi Programmable Logic Devices PLDs Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Planes of ANDs, ORs Inputs Outputs ANDs ORs 4th Egyptian School on High Energy Physics 75

76 Prof. Amr RAdi Programmable Logic Devices PLDs Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Planes of ANDs, ORs Inputs Outputs ANDs ORs 4th Egyptian School on High Energy Physics 76

77 Prof. Amr RAdi Programmable Logic Devices PLDs Un-programmed State n SUM of PRODUCTS n (Re-)Programmble Links n Reconfigurable n GLUE LOGIC Logic Functions Programmed PLD Product Terms Sums Planes of ANDs, ORs Inputs Outputs ANDs ORs 4th Egyptian School on High Energy Physics 77

78 Prof. Amr RAdi Programmable Logic Devices PLDs Logic Functions Programmed PLD Product Terms Sums 4th Egyptian School on High Energy Physics 78

79 Prof. Amr RAdi Programmable Logic Devices PLDs Logic Functions Programmed PLD Product Terms Sums x x x x x 4th Egyptian School on High Energy Physics 79

80 Prof. Amr RAdi Programmable Logic Devices PLDs Logic Functions Programmed PLD Product Terms Sums x x x x x x x n GLUE LOGIC 4th Egyptian School on High Energy Physics 80

81 Complex PLDs 81 n CPLDs n Programmable PLD Blocks n Programmable Interconnects n Electrically Erasable links CPLD Architecture Feedback Outputs Prof. Amr RAdi4th Egyptian School on High Energy Physics

82 Field Programmable Gate Array 82 Field Programmable Gate Array. Is an integrated circuit containing an array of identical cells. Has Logical interface links between these programmable wires. FPGA can be programmed and/or reprogrammed in the lab or home. Prof. Amr RAdi4th Egyptian School on High Energy Physics

83 Field Programmable Gate Arrays Field Programmable Gate Array New Architecture ‘Simple’ Programmable Logic Blocks Massive Fabric of Programmable Interconnects Large Number of Logic Block ‘Islands’ 1,000 … 100,000+ in a ‘Sea’ of Interconnects 83 FPGA Architecture Prof. Amr RAdi4th Egyptian School on High Energy Physics

84 Logic Blocks Logic Functions implemented in Lookup Table LUTs Multiplexers (select 1 of N inputs) Flip-Flops. Registers. Clocked Storage elements. 84 FPGA Fabric Logic Block Prof. Amr RAdi4th Egyptian School on High Energy Physics

85 Designing Logic with FPGAs Design and implement a simple unit permitting to speed up encryption with RC5-similar cipher with fixed key set on 8031 microcontroller. Unlike in the experiment 5, this time your unit has to be able to perform an encryption algorithm by itself, executing 32 rounds….. Specification Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity RC5_core is port( clock, reset, encr_decr: in std_logic; data_input: in std_logic_vector(31 downto 0); data_output: out std_logic_vector(31 downto 0); out_full: in std_logic; key_input: in std_logic_vector(31 downto 0); key_read: out std_logic; ); end AES_core; VHDL description (Your Source Files) Functional simulation Synthesis On-paper hardware design (Block diagram & Algorithmic State Machine ASM chart) Design Flow Prof. Amr RAdi4th Egyptian School on High Energy Physics 85

86 Electronic Design Automation tools (EDA) There are several EDA tools available for circuit synthesis, implementation, and simulation using VHDL. we will use Xilinx’s ISE suite for synthesis and implementation. and ModelSim for simulation. 86 Prof. Amr RAdi4th Egyptian School on High Energy Physics

87 FPGA kit 87 Prof. Amr RAdi4th Egyptian School on High Energy Physics

88 FPGA Application in GEM? Prof. Amr RAdi4th Egyptian School on High Energy Physics 88

89 Gas Electron Multiplier (GEM) 89 A GEM detector is one of the latest generation of gas detectors (MPGD)s. Triple GEMs have 3 GEM foils. 3 type of region : Drift Transfer and induction 3 main processes : Ionization, amplification and induction Prof. Amr RAdi4th Egyptian School on High Energy Physics

90 A front ‐ end electronic board (Trigger and Tracking) 90 A front ‐ end “system on chip” providing fast trigger information and digitized data storage for gas particle detectors. Prof. Amr RAdi4th Egyptian School on High Energy Physics

91 The data format from front–end electronic board 91 Bunch Crossing Number Event NumberChip IDData of the eventChecksum Headers of the Data Prof. Amr RAdi4th Egyptian School on High Energy Physics

92 Scalable Readout System (SRS) 92 Prof. Amr RAdi4th Egyptian School on High Energy Physics

93 Front-end boards + SRS readout system 93 chips on hybrid carriers adapter FEC DTCC link Ethernet + trigger Detector Chip links OR DAQ The output data format of VFAT2 is serially like : But the SRS system need the input data to be Parallel,separate and without Headers with in 25ns SRS Prof. Amr RAdi4th Egyptian School on High Energy Physics

94 1- Developing high computational technique that converts detectors serial data into parallel data (DeMultiplexing) for the purpose of signal : o Recognition, o Segmentation and o Verification Single data event output from front-end electronic board CRCDataID0111EC0011BC0101 Detector Front-end board CLK Plan of work BC EC ID Data 12 SRS System 94 128 Prof. Amr RAdi4th Egyptian School on High Energy Physics

95 Plan of work 95 2- Design and implementation of hardware (circuit chip) to read out the generated data from the front-end electronic board to feed the SRS system with short duration time ~ 25ns (time between 2-bunch collisions) this will be realized using Field Programmable Gate Array (FPGA). Din Clk BC EC ID Dat a Read out circuit for SRS system using FPGA 12 128 Prof. Amr RAdi4th Egyptian School on High Energy Physics

96 Design 96 Single data event output from front-end electronic board CRCDataID0111EC0011BC0101 Detector Front-end board CLK BC EC ID Data 12 SRS System 128 Prof. Amr RAdi4th Egyptian School on High Energy Physics

97 97 CLK Shift Register Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

98 98 CLK Shift Register 1 Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

99 CLK 99 1 Shift Register 01 Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

100 100 CLK 1 Shift Register 101 Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

101 101 CLK 1 Shift Register 0101 Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

102 102 CLK 1 Shift Register ID0111EC0011BC0101 IdECBC Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

103 103 CLK 1 Shift Register ID0111EC0011BC0101 IdECBC Data Register to collect the data Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

104 104 CLK 1 Shift Register ID0111EC0011BC0101 IdECBC Data Register to collect the data CRC collected Register to collect the CRC Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

105 105 CLK 1 Shift Register ID0111EC0011BC0101 IdECBC Data Register to collect the data CRC collected Register to collect the CRC DataID0111EC0011BC0101 CRC component CRC component CLK 16 CRC calculated Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

106 106 CLK 1 Shift Register ID0111EC0011BC0101 IdECBC Data Register to collect the data CRC collected Register to collect the CRC DataID0111EC0011BC0101 CRC component CRC component CLK 16 CRC calculated comparator CRC calculated CRC collected Data valid Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

107 107 CLK 1 Shift Register ID0111EC0011BC0101 IdECBC Data Register to collect the data CRC collected Register to collect the CRC DataID0111EC0011BC0101 CRC component CRC component CLK 16 CRC calculated comparator CRC calculated CRC collected Data valid BC EC ID Data CRC Data valid 12 128 16 Design Prof. Amr RAdi4th Egyptian School on High Energy Physics

108 108 RTL (Register-transfer level) Schematic Prof. Amr RAdi4th Egyptian School on High Energy Physics

109 109 VHDL code of top module Prof. Amr RAdi4th Egyptian School on High Energy Physics

110 110 Verilog code of CRC component Prof. Amr RAdi4th Egyptian School on High Energy Physics

111 111 Testbench code of top module Prof. Amr RAdi4th Egyptian School on High Energy Physics

112 112 Testbench code of top module Generated data Prof. Amr RAdi4th Egyptian School on High Energy Physics

113 113 Results Prof. Amr RAdi4th Egyptian School on High Energy Physics

114 114 Results Prof. Amr RAdi4th Egyptian School on High Energy Physics


Download ppt "Digital Electronics for Detector Prof. Amr Radi Director of ENHEP Ain-Shams University The British University in Egypt Prof. Amr RAdi4th Egyptian School."

Similar presentations


Ads by Google