FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).

Slides:



Advertisements
Similar presentations
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
Advertisements

ENEL111 Digital Electronics
Simulation executable (simv)
CPEN Digital System Design
ECE Synthesis & Verification - Lecture 2 1 ECE 667 Spring 2011 ECE 667 Spring 2011 Synthesis and Verification of Digital Circuits High-Level (Architectural)
Combinational Logic with Verilog Materials taken from: Digital Design and Computer Architecture by David and Sarah Harris & The Essentials of Computer.
CSE 341 Verilog HDL An Introduction. Hardware Specification Languages Verilog  Similar syntax to C  Commonly used in  Industry (USA & Japan) VHDL 
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Register-transfer Design n Basics of register-transfer design: –data paths and controllers.
Modern VLSI Design 3e: Chapter 10 Copyright  2002 Prentice Hall Adapted by Yunsi Fei ECE 300 Advanced VLSI Design Fall 2006 Lecture 24: CAD Systems &
Hardware Description Languages Drawing of circuit schematics is not practical for circuits containing more than few tens of gates. We need a way to just.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4a1 Design for Testability Theory and Practice Lecture 4a: Simulation n What is simulation? n Design.
Design For Verification Synopsys Inc, April 2003.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Prof. John Nestor ECE Department Lafayette College Easton, Pennsylvania ECE VLSI System Design Lecture 4 - Advanced Verilog.
Digital System Design Verilog ® HDL Maziar Goudarzi.
Digital System Design EEE344 Lecture 1 INTRODUCTION TO THE COURSE
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
(1) Modeling Digital Systems © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Guest Lecture by Ben Magstadt CprE 281: Digital Logic.
CSET 4650 Field Programmable Logic Devices
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
Verilog Digital System Design Z. Navabi, McGraw-Hill, 2005
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR HDL coding n Synthesis vs. simulation semantics n Syntax-directed translation n.
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
1 H ardware D escription L anguages Modeling Digital Systems.
FPGA-Based System Design: Chapter 6 Copyright  2004 Prentice Hall PTR Topics n Design methodologies.
1 An Update on Verilog Ξ – Computer Architecture Lab 28/06/2005 Kypros Constantinides.
Digital System 數位系統 Verilog HDL Ping-Liang Lai (賴秉樑)  
CPEN Digital System Design
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Logic Design Process n Functional/ Non-functional requirements n Mapping into an FPGA n Hardware.
Module 1.2 Introduction to Verilog
Introductory project. Development systems Design Entry –Foundation ISE –Third party tools Mentor Graphics: FPGA Advantage Celoxica: DK Design Suite Design.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics VHDL register-transfer modeling: –basics using traffic light controller; –synthesis.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
Slide 1 2. Verilog Elements. Slide 2 Why (V)HDL? (VHDL, Verilog etc.), Karen Parnell, Nick Mehta, “Programmable Logic Design Quick Start Handbook”, Xilinx.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Hardware Description Languages Digital Logic Design Instructor: Kasım Sinan YILDIRIM.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
ECE-C662 Lecture 2 Prawat Nagvajara
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
Digital System Design Verilog ® HDL Introduction to Synthesis: Concepts and Flow Maziar Goudarzi.
ELEE 4303 Digital II Introduction to Verilog. ELEE 4303 Digital II Learning Objectives Get familiar with background of HDLs Basic concepts of Verilog.
CSCI-365 Computer Organization Lecture Note: Some slides and/or pictures in the following are adapted from: Computer Organization and Design, Patterson.
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Basics of register-transfer design: –data paths and controllers; –ASM.
COE 202 Introduction to Verilog Computer Engineering Department College of Computer Sciences and Engineering King Fahd University of Petroleum and Minerals.
VHDL From Ch. 5 Hardware Description Languages. History 1980’s Schematics 1990’s Hardware Description Languages –Increased due to the use of Programming.
1 Lecture 1: Verilog HDL Introduction. 2 What is Verilog HDL? Verilog Hardware Description Language(HDL)? –A high-level computer language can model, represent.
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Verilog register-transfer modeling: –basics using traffic light controller;
1 A hardware description language is a computer language that is used to describe hardware. Two HDLs are widely used Verilog HDL VHDL (Very High Speed.
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
Adapted from Krste Asanovic
TODAY’S OUTLINE Introduction to Verilog Verilog coding format
ASIC Design Methodology
Topics Modeling with hardware description languages (HDLs).
VLSI Testing Lecture 5: Logic Simulation
Topics Modeling with hardware description languages (HDLs).
Week 5, Verilog & Full Adder
Lesson 4 Synchronous Design Architectures: Data Path and High-level Synthesis (part two) Sept EE37E Adv. Digital Electronics.
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
The Verilog Hardware Description Language
THE ECE 554 XILINX DESIGN PROCESS
H a r d w a r e M o d e l i n g O v e r v i e w
Digital Designs – What does it take
THE ECE 554 XILINX DESIGN PROCESS
COE 202 Introduction to Verilog
Presentation transcript:

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Hardware description languages n Textual languages for describing hardware: –structure; –function. n Most people today use textual languages rather than schematics for most digital design. –Schematics make poor use of screen space.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Major HDLs n Two major HDLs designed for simulation: –VHDL; –Verilog. –Similar capabilities but somewhat different language philosophies. n EDIF is a standard netlist format.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Simulation vs. programming n Simulation tags computations with times. –Must know when signals change to properly simulate hardware. n Simulation is parallel. –Many statements can execute at the same (simulation) time. –Just like hardware.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Types of simulation n Compiled code simulation. –Generate program that evaluates a hardware block. –Operational details within the hardware block are lost. n Event-driven simulation. –Propagate events through simulation. –Don’t simulate a block until its inputs change.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Event-driven simulation n An event is a change in a net’s value. n An event has two components: –value; –time. timet=35 ns 35 ns net event net1

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Events on a gate n Propagate events only when nets change value. n If an input change doesn’t cause an output change, no event is propagated no event 1 0

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Timewheel n The timewheel is a data structure in the simulator that efficiently determines the order of events processed. n Events are placed on the timewheel in time order. n Events are taken out of the head of the timewheel to process them in order.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Timewheel operation a b c ns netlist timewheel 1 ns 1 2 ns 0 time

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Order of evaluation n Order of evaluation is important. –Causality must be obeyed. n Evaluating events in the wrong order can cause inaccurate results.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Order of evaluation example a b c netlist timewheel 1 ns 1 2 ns 1 d e 1 4 ns 0 1 time

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Compiled simulation n A block of code is generated to simulate a block of hardware. –Can use compiler to optimize the code. n Code ignores much temporal behavior within the block. –Must still evaluate events in the right order. –Must generate times at interface to event-driven model.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Modeling n Structural modeling describes the connections between components. –Netlists are structural models. n Behavioral models describes the functional relationship between inputs and outputs. –Similar to programming but values are events.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR HDLs language constructs n Must be able to define component types. –A model may be behavioral or structural. n May be able to define abstract data types. –A wire may carry an enumerated value. –Multi-valued simulation may be defined using abstract data types. n May be able to define modules to control the scope of names.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Testbenches n A testbench is a model used to exercise a simulation. –Provides stimulus. –Checks outputs. n Testbenches help automate design verification. –Rerun edited module against testbench. –Run models at behavioral, RTL levels against the same testbench.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Synthesis subsets n VHDL and Verilog were designed for simulation. n A synthesis subset is: –synthesizable; –produces consistent simulation results. n Different tools may use different synthesis subsets.

FPGA-Based System Design Copyright  2004 Prentice Hall PTR Register-transfer synthesis n Most common type of synthesis. n Synthesizes gates from abstract RT model. –Registers are explicit. –Some tools will infer storage elements---be careful. n Optimized for performance, area, power.