Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University.

Slides:



Advertisements
Similar presentations
Analysis of Floorplanning Algorithm in EDA Tools
Advertisements

THERMAL-AWARE BUS-DRIVEN FLOORPLANNING PO-HSUN WU & TSUNG-YI HO Department of Computer Science and Information Engineering, National Cheng Kung University.
A Novel 3D Layer-Multiplexed On-Chip Network
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR Topics n Logic synthesis. n Placement and routing.
Optimization of Placement Solutions for Routability Wen-Hao Liu, Cheng-Kok Koh, and Yih-Lang Li DAC’13.
Topology-Aware Buffer Insertion and GPU-Based Massively Parallel Rerouting for ECO Timing Optimization Yen-Hung Lin, Yun-Jian Lo, Hian-Syun Tong, Wen-Hao.
Buffer and FF Insertion Slides from Charles J. Alpert IBM Corp.
Hsi-An Chien Ting-Chi Wang Redundant-Via-Aware ECO Routing ASPDAC2014.
Wen-Hao Liu1, Yih-Lang Li, and Cheng-Kok Koh Department of Computer Science, National Chiao-Tung University School of Electrical and Computer Engineering,
3D-STAF: Scalable Temperature and Leakage Aware Floorplanning for Three-Dimensional Integrated Circuits Pingqiang Zhou, Yuchun Ma, Zhouyuan Li, Robert.
Meng-Kai Hsu, Sheng Chou, Tzu-Hen Lin, and Yao-Wen Chang Electronics Engineering, National Taiwan University Routability Driven Analytical Placement for.
Ripple: An Effective Routability-Driven Placer by Iterative Cell Movement Xu He, Tao Huang, Linfu Xiao, Haitong Tian, Guxin Cui and Evangeline F.Y. Young.
Paul Falkenstern and Yuan Xie Yao-Wen Chang Yu Wang Three-Dimensional Integrated Circuits (3D IC) Floorplan and Power/Ground Network Co-synthesis ASPDAC’10.
Coupling-Aware Length-Ratio- Matching Routing for Capacitor Arrays in Analog Integrated Circuits Kuan-Hsien Ho, Hung-Chih Ou, Yao-Wen Chang and Hui-Fang.
CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja, Dept. of ECE, Rutgers University Vishwani D. Agrawal, Dept. of ECE, Auburn.
Routability-Driven Blockage-Aware Macro Placement Yi-Fang Chen, Chau-Chin Huang, Chien-Hsiung Chiou, Yao-Wen Chang, Chang-Jen Wang.
Layer Assignment Algorithm for RLC Crosstalk Minimization Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong Tsinghua University.
Interconnect Estimation without Packing via ACG Floorplans Jia Wang and Hai Zhou Electrical & Computer Engineering Northwestern University U.S.A.
International Conference on Computer-Aided Design San Jose, CA Nov. 2001ER UCLA UCLA 1 Congestion Reduction During Placement Based on Integer Programming.
38 th Design Automation Conference, Las Vegas, June 19, 2001 Creating and Exploiting Flexibility in Steiner Trees Elaheh Bozorgzadeh, Ryan Kastner, Majid.
ER UCLA UCLA ICCAD: November 5, 2000 Predictable Routing Ryan Kastner, Elaheh Borzorgzadeh, and Majid Sarrafzadeh ER Group Dept. of Computer Science UCLA.
On Legalization of Row-Based Placements Andrew B. KahngSherief Reda CSE & ECE Departments University of CA, San Diego La Jolla, CA 92093
Circuit Performance Variability Decomposition Michael Orshansky, Costas Spanos, and Chenming Hu Department of Electrical Engineering and Computer Sciences,
Accurate Pseudo-Constructive Wirelength and Congestion Estimation Andrew B. Kahng, UCSD CSE and ECE Depts., La Jolla Xu Xu, UCSD CSE Dept., La Jolla Supported.
Merging Synthesis With Layout For Soc Design -- Research Status Jinian Bian and Hongxi Xue Dept. Of Computer Science and Technology, Tsinghua University,
Processing Rate Optimization by Sequential System Floorplanning Jia Wang 1, Ping-Chih Wu 2, and Hai Zhou 1 1 Electrical Engineering & Computer Science.
Ryan Kastner ASIC/SOC, September Coupling Aware Routing Ryan Kastner, Elaheh Bozorgzadeh and Majid Sarrafzadeh Department of Electrical and Computer.
Metal Layer Planning for Silicon Interposers with Consideration of Routability and Manufacturing Cost W. Liu, T. Chien and T. Wang Department of CS, NTHU,
POLAR 2.0: An Effective Routability-Driven Placer Chris Chu Tao Lin.
Congestion Estimation in Floorplanning Supervisor: Evangeline F. Y. YOUNG by Chiu Wing SHAM.
Chip Planning 1. Introduction Chip Planning:  Deals with large modules with −known areas −fixed/changeable shapes −(possibly fixed locations for some.
ECE 506 Reconfigurable Computing Lecture 7 FPGA Placement.
ECE 506 Reconfigurable Computing Lecture 8 FPGA Placement.
1 Assessment of Imprecise Reliability Using Efficient Probabilistic Reanalysis Farizal Efstratios Nikolaidis SAE 2007 World Congress.
Hierarchical Physical Design Methodology for Multi-Million Gate Chips Session 11 Wei-Jin Dai.
VLSI Physical Design: From Graph Partitioning to Timing Closure Paper Presentation © KLMH Lienig 1 EECS 527 Paper Presentation Accurate Estimation of Global.
A Topology-based ECO Routing Methodology for Mask Cost Minimization Po-Hsun Wu, Shang-Ya Bai, and Tsung-Yi Ho Department of Computer Science and Information.
Area-I/O Flip-Chip Routing for Chip-Package Co-Design Progress Report 方家偉、張耀文、何冠賢 The Electronic Design Automation Laboratory Graduate Institute of Electronics.
Physical Planning for the Architectural Exploration of Large-Scale Chip Multiprocessors Javier de San Pedro, Nikita Nikitin, Jordi Cortadella and Jordi.
Placement by Simulated Annealing. Simulated Annealing  Simulates annealing process for placement  Initial placement −Random positions  Perturb by block.
CAFE router: A Fast Connectivity Aware Multiple Nets Routing Algorithm for Routing Grid with Obstacles Y. Kohira and A. Takahashi School of Computer Science.
Research on Analysis and Physical Synthesis Chung-Kuan Cheng CSE Department UC San Diego
Pattern Selection based co-design of Floorplan and Power/Ground Network with Wiring Resource Optimization L. Li, Y. Ma, N. Xu, Y. Wang and X. Hong WuHan.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Solving Hard Instances of FPGA Routing with a Congestion-Optimal Restrained-Norm Path Search Space Keith So School of Computer Science and Engineering.
Wen-Hao Liu 1, Yih-Lang Li 1, and Kai-Yuan Chao 2 1 Department of Computer Science, National Chiao-Tung University, Hsin-Chu, Taiwan 2 Intel Architecture.
Archer: A History-Driven Global Routing Algorithm Mustafa Ozdal Intel Corporation Martin D. F. Wong Univ. of Illinois at Urbana-Champaign Mustafa Ozdal.
New Modeling Techniques for the Global Routing Problem Anthony Vannelli Department of Electrical and Computer Engineering University of Waterloo Waterloo,
A NEW ECO TECHNOLOGY FOR FUNCTIONAL CHANGES AND REMOVING TIMING VIOLATIONS Jui-Hung Hung, Yao-Kai Yeh,Yung-Sheng Tseng and Tsai-Ming Hsieh Dept. of Information.
Thermal-aware Steiner Routing for 3D Stacked ICs M. Pathak and S.K. Lim Georgia Institute of Technology ICCAD 07.
Regularity-Constrained Floorplanning for Multi-Core Processors Xi Chen and Jiang Hu (Department of ECE Texas A&M University), Ning Xu (College of CST Wuhan.
Massachusetts Institute of Technology 1 L14 – Physical Design Spring 2007 Ajay Joshi.
Optimal Selection of Power Saving Classes in IEEE e Lei Kong, Danny H.K. Tsang Department of Electronic and Computer Engineering Hong Kong University.
Placement. Physical Design Cycle Partitioning Placement/ Floorplanning Placement/ Floorplanning Routing Break the circuit up into smaller segments Place.
Jason Cong‡†, Guojie Luo*†, Kalliopi Tsota‡, and Bingjun Xiao‡ ‡Computer Science Department, University of California, Los Angeles, USA *School of Electrical.
IO CONNECTION ASSIGNMENT AND RDL ROUTING FOR FLIP-CHIP DESIGNS Jin-Tai Yan, Zhi-Wei Chen 1 ASPDAC.2009.
Fishbone: A Block-Level Placement and Routing Scheme Fan Mo and Robert K. Brayton EECS, UC Berkeley.
Test Architecture Design and Optimization for Three- Dimensional SoCs Li Jiang, Lin Huang and Qiang Xu CUhk Reliable Computing Laboratry Department of.
A Stable Fixed-outline Floorplanning Method Song Chen and Takeshi Yoshimura Graduate School of IPS, Waseda University March, 2007.
Simultaneous Analog Placement and Routing with Current Flow and Current Density Considerations H.C. Ou, H.C.C. Chien and Y.W. Chang Electronics Engineering,
ILP-Based Inter-Die Routing for 3D ICs Chia-Jen Chang, Pao-Jen Huang, Tai-Chen Chen, and Chien-Nan Jimmy Liu Department of Electrical Engineering, National.
BOB-Router: A New Buffering-Aware Global Router with Over-the-Block Routing Resources Yilin Zhang1, Salim Chowdhury2 and David Z. Pan1 1 Department of.
1 Twin Binary Sequences: A Non-Redundant Representation for General Non-Slicing Floorplan Evan Young Department of Computer Science and Engineering The.
System in Package and Chip-Package-Board Co-Design
1 Floorplanning of Pipelined Array (FoPA) Modules using Sequence Pairs Matt Moe Herman Schmit.
Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong (Steven) Deng & Wojciech P. Maly
Placement and Routing Algorithms. 2 FPGA Placement & Routing.
Sheqin Dong, Song Chen, Xianlong Hong EDA Lab., Tsinghua Univ. Beijing
Interconnect Architecture
Topics Logic synthesis. Placement and routing..
Presentation transcript:

Routability-driven Floorplanning With Buffer Planning Chiu Wing Sham Evangeline F. Y. Young Department of Computer Science & Engineering The Chinese University of Hong Kong

Overview of Presentation Introduction Congestion model Buffer planning Implementation Experimental results Conclusion

Major Role of Floorplanning Minimization of chip area Minimization of interconnect cost Wirelength Timing delay Routability

Our Contributions Improve the routability of the layout by Accurate estimations of congestion at different locations of the packing Consider the positions of the buffer blocks

Buffer Planning Buffer planning is important to circuit design The number of buffer insertions is large and the total size of buffer insertions is significant Buffers cannot be inserted on macro blocks Without planning ahead, buffer locations will be poorly chosen or timing closure cannot be achieved

Design Flow Simulated Annealing Floorplan Evaluation Move a new packing Estimation of congestion Computation of area and wirelength Estimation of buffer usage and buffer resource Netlist and module information of a floorplan A floorplan with optimized area and routability

Design Flow Simulated Annealing Floorplan Evaluation Move a new packing Estimation of congestion Computation of area and wirelength Estimation of buffer usage and buffer resource Netlist and module information of a floorplan A floorplan with optimized area and routability

Traditional Congestion Model The probability that wire k passing through this grid, Prob(x,y,k) =4/6 =0.67

Our Congestion Model Variable interval buffer insertion constraint Adjacent buffers are inserted at distance x  [low, up] from each other for some given low and up Make use of the information of buffer insertions to estimate congestion by probabilistic analysis

Our Congestion Model As the buffers can be inserted in multi-ways: r_success(l) = (P 0 + … + P n )/n, where n is the total number of possible ways for buffer insertions, P 0 … P n are the probabilities of successful buffer insertion for each possible way and r_success(l) is the probability of successful buffer insertion for route l. Assume that up is 3 and low is 2

Our Congestion Model Example: r_success(l) =(P 0 +P 1 +P 2 )/3 =0.413 P 0 = 1.0*1.0 P 1 = 1.0*0.2 P 0 = 1.0*1.0 P 1 = 1.0*0.2 P 2 = 0.2*0.2

Buffer resources, b_prob(x,y) The number of feasible routes pass through each grid for wire k Assume that up is 3 and low is 2 Our Congestion Model The probability that wire k passing through this grid, Prob(x,y,k) =2.00/2.25 =0.89

Our Congestion Model Congestion of the grid (x,y) -Average number of wires passing through the grid (x,y), weight(x,y):

Estimation of the Congestion Compute these Prob(x,y,k) for all co- ordinates x, y and wires k by dynamic programming To compute Prob(x,y,k), we can make use of the Prob(x’,y’,k’)s where (x’,y’)s are closer to the source than (x,y) and the distance between (x’,y’) and (x,y) is within the bound [low, up]

Estimation of Buffer Resources Buffer resources at grid (x,y): where b_space(x,y) is the maximum number of buffer insertions allowed at the grid (x, y) which is related to the amount of empty space in that grid and b_weight(x,y) is the estimated total number of buffer insertions required at the grid (x,y)

Implementation of the Floorplanner Assume variable interval buffer insertion constraint Estimate the wirelength by MST Estimate the amount of buffer resources Evaluate the congestion by probabilistic analysis Apply two phases simulated annealing

Two Phases Simulated Annealing Phase 1 Using simulated annealing Using cost function including area and wirelength only, C1 Phase 2 Using simulated annealing Using cost function including area, wirelength and congestion estimation, C2

Two Phases Simulated Annealing Temperature adjustment Maintain the acceptance rate during the transitional stage by adjusting the temperature Simulated annealing process can be performed smoothly although the cost function is changed Calculating the new temperature, new_T = (new_  C/ old_  C)*old_T

A Simple Global Router The nets are decomposed into two-pin wires A wire is routable if it can be routed from the source to the sink in the shortest Manhattan distance without violating the buffer constraints and the congestion constraints The nets will be routed one after another The routes satisfying the buffer requirement and with the smallest congestion will be chosen

Experimental Results Machine used is Pentium IV 1.2GHz with 512 Mb memory The data sets used are ami33, ami49 and playout Upper bound and lower bound of the variable interval buffer insertion constraint are approximately equal to 2100  m and 4200  m for 0.18  m technology

Experimental Results Compare our two phases routability-driven floorplanner with buffer planning (F1) v.s. the traditional floorplanner (F2): ami33ami49playout F1F1F2F2F1F1F2F2F1F1F2F2 Area Wirelength Congestion Blocked wires Total wires Runtime

Experimental Results Compare our two phases routability-driven floorplanner with buffer planning v.s. the traditional floorplanner: Comparison with original floorplanner ami33ami49playout  Area 0.26 %0.76 %0.19 %  Wirelength %-4.91 %-3.32 %  Congestion % %-5.76 %  Blocked wires % % %  Runtime 5.3x5.2x7.1x

Conclusion A routability-driven floorplanner with buffer planning is implemented The result shows that the floorplan can be less congested and more routable without increasing the area of the floorplan significantly Runtime is affordable when using two phases simulated annealing