Presentation is loading. Please wait.

Presentation is loading. Please wait.

Congestion Estimation in Floorplanning Supervisor: Evangeline F. Y. YOUNG by Chiu Wing SHAM.

Similar presentations


Presentation on theme: "Congestion Estimation in Floorplanning Supervisor: Evangeline F. Y. YOUNG by Chiu Wing SHAM."— Presentation transcript:

1 Congestion Estimation in Floorplanning Supervisor: Evangeline F. Y. YOUNG by Chiu Wing SHAM

2 Overview Introduction Background Congestion Modeling Experimental Results Future Works

3 Introduction Motivations: 80% of the clock cycle consumed by interconnects Interconnect optimization becomes the major concern in floorplanning Appropriate interconnect estimation is required in floorplanning

4 Major Role of Floorplanning Minimization of chip area Optimization of interconnect cost Wirelength Timing delay Routability Others: Heat dissipation Noise reduction Power consumption

5 Congestion Planning Congestion planning is important to circuit design Excessive congestion may result in a local shortage of routing resources A large expansion in area Failure in achieving timing closure Congestion modeling Given a packing and netlist Estimating the congestion and routability instead of real routing

6 Congestion Model A The probability that wire k passing through this grid, P k (x,y) =4/6 =0.67

7 Congestion Model A Congestion of the grid (x,y) -Expected number of wires passing through the grid (x,y), weight(x,y):

8 Limitations The probability that wire k passing through this grid, P k (x,y) =8/24 =0.33 Model A assumes that all feasible routes have the same probability of being selected In real cases, the routes with less bends should have a higher probability of being selected

9 Congestion Model B

10 where dist k (x, y) is the distance from the source of wire k to the grid (x, y) and cnt k (r) is the number of grids in the division that is r grids from the source. Congestion of the grid (x,y) due to wire k -the probability of wire k pass through the grid (x,y), P k (x,y):

11 Limitations Routing resources: Both models assume that routing resources are equal at different locations Routing resources should be different at different locations in real cases Wirelength: Both models assume that all nets are routed in their shortest Manhattan distance Some nets may be routed with detours in real cases

12 Our Approaches Congestion Model A*: Based on model A Routing resources can be different at different locations Congestion Model B*: Based on model B Routing resources can be different at different locations Congestion Model C: Based on model B* Routing resources can be different at different locations Each net may be routed with detours

13 Congestion Model A* Considering routing resources

14 Congestion Model A* Notations: res(x,y): relative routing resources at the grid (x, y) L k (x,y): the set of feasible routes for wire k passing through the grid (x,y) L k : the set of all feasible routes for wire k G k (l): the set of grids that the route l of wire k will pass through w k (l): the weight of each feasible route l Equations:

15 Congestion Model B* Considering routing resources

16 Congestion Model B* Notations: res(x,y): relative routing resources at grid (x, y) dist k (x,y): the distance from the source of wire k to the grid (x,y) div k (r): the set of grids that are r grids from the source of wire k Equation

17 Congestion Model C Considering routing resources Each net may be routed with detours

18 Congestion Model C Notations: res(x,y): relative routing resources at the grid (x, y) dist(x,y): the distance from the the grid (0, 0) to the grid (x,y) div k (r): the set of grids that are r grids from the grid (0,0) of wire k CR k : the set of divisions located in the compulsory region OR k : the set of divisions located in the optional region  : degrade factor for the grids outside the SMB region  : degrade factor for the grids in the optional region d(i, j, k, l): the distance between the grid (i, j) and (k, l)

19 Congestion Model C Equation: Compulsory Region (div k (dist(x, y))  CR k ): Optional Region (div k (dist(x, y))  OR k ):

20 Implementation Floorplanning: Representations: SP Heuristics: Simulated Annealing Cost function: Weighted sum of wirelength and number of over-congested grid Routing Cadence’s WROUTE

21 Experimental Results Test cases:

22 Experimental Results

23

24

25 Future works Limitations of congestion model C Too many parameters ( ,  ) are used Longer running time Limitations of representation Packed closely together

26

27 Example

28

29 Example 2


Download ppt "Congestion Estimation in Floorplanning Supervisor: Evangeline F. Y. YOUNG by Chiu Wing SHAM."

Similar presentations


Ads by Google