CAS-FEST 2010 © E. Maricau, K.U. Leuven 1 Computer Aided Analog Circuit Design for Reliability Elie Maricau and Georges Gielen ESAT–MICAS K.U.Leuven, Belgium.

Slides:



Advertisements
Similar presentations
R. van Langevelde, A.J. Scholten Philips Research, The Netherlands
Advertisements

Tunable Sensors for Process-Aware Voltage Scaling
-1- VLSI CAD Laboratory, UC San Diego Post-Routing BEOL Layout Optimization for Improved Time- Dependent Dielectric Breakdown (TDDB) Reliability Tuck-Boon.
Slide 1 Bayesian Model Fusion: Large-Scale Performance Modeling of Analog and Mixed- Signal Circuits by Reusing Early-Stage Data Fa Wang*, Wangyang Zhang*,
SIGNAL PROCESSING WITH ANALOG CIRCUIT Chun Lo. Analog circuit design  Main disadvantage: low precision  Due to mismatch in analog circuit components.
Digital Integrated Circuits© Prentice Hall 1995 Devices Jan M. Rabaey The Devices.
0 1 Width-dependent Statistical Leakage Modeling for Random Dopant Induced Threshold Voltage Shift Jie Gu, Sachin Sapatnekar, Chris Kim Department of Electrical.
Twin Logic Gates – Improved Logic Reliability by Redundancy concerning Gate Oxide Breakdown Hagen Sämrow, Claas Cornelius, Frank Sill, Andreas Tockhorn,
Copyright 2001, Agrawal & BushnellDay-1 AM-3 Lecture 31 Testing Analog & Digital Products Lecture 3: Fault Modeling n Why model faults? n Some real defects.
Yuanlin Lu Intel Corporation, Folsom, CA Vishwani D. Agrawal
Robust Low Power VLSI ECE 7502 S2015 Burn-in/Stress Test for Reliability: Reducing burn-in time through high-voltage stress test and Weibull statistical.
Lecture 4: Nonideal Transistor Theory
EE/MAtE1671 Front-End-Of-Line Variability Considerations EE/MatE 167 David Wahlgren Parent.
EE/MAtE1671 Process Variability EE/MatE 167 David Wahlgren Parent.
© Digital Integrated Circuits 2nd Devices Digital Integrated Circuits A Design Perspective The Devices Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
EE415 VLSI Design The Devices: MOS Transistor [Adapted from Rabaey’s Digital Integrated Circuits, ©2002, J. Rabaey et al.]
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault.
Outline Introduction – “Is there a limit?”
Digital Integrated Circuits A Design Perspective
Jan. 2007VLSI Design '071 Statistical Leakage and Timing Optimization for Submicron Process Variation Yuanlin Lu and Vishwani D. Agrawal ECE Dept. Auburn.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
CMOS VLSIAnalog DesignSlide 1 CMOS VLSI Analog Design.
Trace-Based Framework for Concurrent Development of Process and FPGA Architecture Considering Process Variation and Reliability 1 Lerong Cheng, 1 Yan Lin,
University of Michigan Electrical Engineering and Computer Science 1 Online Timing Analysis for Wearout Detection Jason Blome, Shuguang Feng, Shantanu.
© Digital Integrated Circuits 2nd Devices VLSI Devices  Intuitive understanding of device operation  Fundamental analytic models  Manual Models  Spice.
Advanced Computing and Information Systems laboratory Device Variability Impact on Logic Gate Failure Rates Erin Taylor and José Fortes Department of Electrical.
Items for Discussion Chip reliability & testing Testing: who/where/what ??? GBTx radiation testing GBTx SEU testing Packaging – Low X0 options, lead free.
ECE 342 Electronic Circuits 2. MOS Transistors
EE466: VLSI Design Power Dissipation. Outline Motivation to estimate power dissipation Sources of power dissipation Dynamic power dissipation Static power.
ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Fault Modeling.
Seoul National University CMOS for Power Device CMOS for Power Device 전파공학 연구실 노 영 우 Microwave Device Term Project.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 11: October 1, 2010 Variation.
Total Ionizing Dose Effects in Silicon Technologies and Devices
© Digital Integrated Circuits 2nd Devices Digital Integrated Circuits A Design Perspective The Devices Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
The Devices Digital Integrated Circuit Design Andrea Bonfanti DEIB
© Digital Integrated Circuits 2nd Devices Digital Integrated Circuits A Design Perspective The Devices Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.
Jan M. Rabaey The Devices Digital Integrated Circuits© Prentice Hall 1995 Introduction.
A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology
Total Dose Effects on Devices and Circuits - Principles and Limits of Ground Evaluation-
FPGAs, Scaling and Reliability
Presented By : LAHSAINI Achraf & MAKARA Felipe.  Introduction  Difficult Challenges : > Difficult Challenges between 2013 – 2020 > Difficult Challenges.
Process Monitor/TID Characterization Valencia M. Joyner.
Low Power – High Speed MCML Circuits (II)
Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.
On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Department of Electrical and Computer Engineering By Han Lin Jiun-Yi.
On-Chip Sensors for Process, Aging, and Temperature Variation
Fault Models, Fault Simulation and Test Generation Vishwani D. Agrawal Department of ECE, Auburn University Auburn, AL 36849, USA
HW (Also, use google scholar to find one or two well cited papers on symmetric models of MOSFET, and quickly study them.)
Outline Introduction: BTI Aging and AVS Signoff Problem
VLSI System Design Lect. 2.2 CMOS Transistor Theory2 Engr. Anees ul Husnain ( Department of Electronics.
January, 2003CMS Ecal1 MGPA Specification Discussion – 9 th Jan. 03 OUTLINE 3 or 4 gain channels discussion technical background - why 3 gains could be.
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
Design and Assessment of a Robust Voltage Amplifier with 2.5 GHz GBW and >100 kGy Total Dose Tolerance Jens Verbeeck TWEPP 2010.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Metal-oxide-semiconductor field-effect transistors (MOSFETs) allow high density and low power dissipation. To reduce system cost and increase portability,
Joshua L. Garrett Digital Circuits Design GroupUniversity of California, Berkeley Compact DSM MOS Modeling for Energy/Delay Estimation Joshua Garrett,
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 12: October 3, 2011 Variation.
M. Atef, Hong Chen, and H. Zimmermann Vienna University of Technology
ECE 333 Linear Electronics
High Gain Transimpedance Amplifier with Current Mirror Load By: Mohamed Atef Electrical Engineering Department Assiut University Assiut, Egypt.
Trap Engineering for device design and reliability modeling in memory/logic application 1/ 년 02 월 xx 일 School of EE, Seoul National University 대표.
Analog Integrated Circuits Lecture 1: Introduction and MOS Physics ELC 601 – Fall 2013 Dr. Ahmed Nader Dr. Mohamed M. Aboudina
Alireza Shafaei, Shuang Chen, Yanzhi Wang, and Massoud Pedram
2014 Spring ASIC/SOC Design
VLSI design Short channel Effects in Deep Submicron CMOS
Challenges in Nanoelectronics: Process Variability
Circuits Aging Min Chen( ) Ran Li( )
Circuits Aging Min Chen( ) Ran Li( )
VLSI Testing Lecture 3: Fault Modeling
HotAging — Impact of Power Dissipation on Hardware Degradation
Presentation transcript:

CAS-FEST 2010 © E. Maricau, K.U. Leuven 1 Computer Aided Analog Circuit Design for Reliability Elie Maricau and Georges Gielen ESAT–MICAS K.U.Leuven, Belgium

CAS-FEST 2010 © E. Maricau, K.U. Leuven 2 Contents  Introduction  Reliability Effect Modeling  Reliability Simulation  Reliability-aware Design  Conclusions

CAS-FEST 2010 © E. Maricau, K.U. Leuven 3 Towards Systems-on-Chip (SoC) Move to increased levels of integration  reduced cost, size/volume, power  improved performance Increasing chip complexity  integrated heterogeneous systems mixed hardware/software mixed RF/analog/digital [Staszewski, ISSCC ‘08]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 4 Scaling to atomistic scale devices… Nanometer CMOS scaling problems:  Noise problems (signal integrity)  Leakage (digital)  Channel length modulation  Velocity saturation  Mobility degradation  Drain induced barrier lowering (DIBL)  Parasitic effects  IC reliability  … [ITRS 09]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 5 IC Reliability …  Spatial Unreliability manufacturing process variations random defects [Chandra IOLTS 09]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 6  the IC manufacturing suffers from defects and from inherent fluctuations results in faulty chips and in fluctuations in circuit performances yield smaller than 100% affects profitability of IC manufacturing process Spatial Unreliability [Bernstein, IBM Journal 06]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 7 IC Reliability …  Spatial Unreliability manufacturing process variations random defects  Dynamic Unreliability workload dependent temperature variations EMC [Chandra IOLTS 09]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 8 Dynamic Unreliability  Power, Voltage, Temperature variations EMC EOS ESD …

CAS-FEST 2010 © E. Maricau, K.U. Leuven 9 IC Reliability …  Spatial Unreliability manufacturing process variations random defects  Dynamic Unreliability workload dependent temperature variations EMC  Temporal Unreliability ageing effects [Chandra IOLTS 09]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 10 Temporal Unreliability  IC level Electro migration Stress voiding Bias Temperature Instability (BTI) Hot Carrier Injection (HCI) Time Dependent Dielectric Breakdown (TDDB)...  PCB Corrosion Solder cracking...  Packaging Bond wire sheering...

CAS-FEST 2010 © E. Maricau, K.U. Leuven 11 Reliability Assessment  Device Level Accelerated stress tests on individual devices Device failure criterion is chosen arbitrarily (e.g.  V TH >50mV)  Circuit Level Test for Reliability (TFR) » e.g. screening, life test, burn-in,… Design for Reliability (DFR) » Transistor aging models » Reliability simulation tools DFR TFR

CAS-FEST 2010 © E. Maricau, K.U. Leuven 12 Contents  Introduction  Reliability Effect Modeling  Reliability Simulation  Reliability-aware Design  Conclusions

CAS-FEST 2010 © E. Maricau, K.U. Leuven 13 What do we need?  Compact models for all important unreliability effects Include all important factors » e.g. W,L, Vgs, Vds, T, … Include interaction effects » e.g. Vds-Vgs for HCI Cover a broad range of factor values » e.g. Vgs = [0V … 1.5V], W=[0.08  m-10  m] Model time-varying stress effects » e.g. Vgs(t)= VGS + sin(0.5,1e6)

CAS-FEST 2010 © E. Maricau, K.U. Leuven 14 Reliability in Nanometer CMOS  Process variability  Hot Carrier Degradation  NBTI (PBTI)  Time Dependent Dielectric Breakdown n+n+ n+n+ [ITRS 2009]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 15 Process Variability [Bernstein et al. IBM Journal 2006] Random dopant fluctuations Line edge roughness [Pelgrom, JSSC 89]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 16 Hot Carrier Degradation  channel hot carrier A well known phenomenon (>25 years) Interface traps due to impact ionization Dominant for NMOS in saturation » high V DS » high V GS Impact at device level »  V TH, ,  g o n+n+ n+n+

CAS-FEST 2010 © E. Maricau, K.U. Leuven 17 Hot Carrier Degradation  ESAT-MICAS model [Maricau ESREF08] Based on Reaction-Diffusion (RD) model Includes all important transistor parameters (Vgs, Vds, L, T) DC and AC voltage stress

CAS-FEST 2010 © E. Maricau, K.U. Leuven 18 Hot Carrier Degradation [Maricau ESREF08]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 19 Negative Bias Temperature Instability  new phenomenon (<5 years)  important for pMOS  traps due to electro-chemical reaction with SiH  large V GS  temperature activated  relaxation phenomenon Interface traps: permanent part Oxide traps: recoverable part  Impact at device level  V TH, ,  g o p+p+ p+p+

CAS-FEST 2010 © E. Maricau, K.U. Leuven 20 Negative Bias Temperature Instability  ESAT-MICAS model [Maricau EL10] Model permanent (P) and recoverable (R) component Includes important transistor parameters (Vgs, T) DC and AC voltage stress

CAS-FEST 2010 © E. Maricau, K.U. Leuven 21 Negative Bias Temperature Instability [Maricau EL10]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 22 Time Dependent Dielectric Breakdown  PMOS and NMOS  Statistical phenomenon  Gate current increases  high V GS  soft BD – I g noise  hard BD – k  gate resistance t SBD t HBD

CAS-FEST 2010 © E. Maricau, K.U. Leuven 23 Time Dependent Dielectric Breakdown  Soft Breakdown 65nm technology Example SBD: » 1V gate stress » 10 year stress time Time to BD follows a Weibull distribution [Maricau DATE11]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 24 Transistor Reliability in Sub 65nm CMOS [Gielen DATE11]  Aging becomes worse EOT reduces » E eff increases New materials (High-k) » PBTI SiO2 Interfacial Layer » NBTI, HC, TDDB remains

CAS-FEST 2010 © E. Maricau, K.U. Leuven 25 Transistor Reliability in Sub 65nm CMOS  Everything becomes stochastic NBTI PBTI Hot Carrier degradation Soft Breakdown Process variability [Huard, IRPS08]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 26 Bias Temperature Instability [Gielen DATE11]  Stochastic BTI model Individual charges can change  V TH Poisson distribution for number of trapped charges (N=mean number of traps) Exponential distribution for the impact of an individual defect (  = average impact)  V TH =f(Vgs,T)  V TH )=f(1/(WL))

CAS-FEST 2010 © E. Maricau, K.U. Leuven 27 Transistor Model [Maricau, ESREF08, DATE11]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 28 Contents  Introduction  Reliability Effect Modeling  Reliability Simulation  Reliability-aware Design  Conclusions

CAS-FEST 2010 © E. Maricau, K.U. Leuven 29 Reliability Simulation  IC Analysis: Performance(t)?  Time-varying stress (Analog!)  Gradual OP shift (iteration in software)  Similar to ELDO and RelXpert [Maricau, DATE09, TCAD10]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 30 Example: LC-VCO  5 GHz low phase noise High output swing High LC-tank Q-factor Protective gate-capacitors (DC-bias not shown) UMC 90nm

CAS-FEST 2010 © E. Maricau, K.U. Leuven 31 Nominal Simulation  AC simulation shows sudden V out degradation (due to g o degradation)  No frequency degradation  Failure due to Hot Carrier damage

CAS-FEST 2010 © E. Maricau, K.U. Leuven 32 Variability awareness?  Process variability introduces stress variability  Transistor aging + process variability = yield(t) T fail,nom T fail,20 % [Maricau TCAD10]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 33 Variability Aware Reliability Simulation Factor Space (Process Variability) Performance Space (Circuit Dependent) Yield (Application Dependent) Deterministic Reliability Simulation ?

CAS-FEST 2010 © E. Maricau, K.U. Leuven 34 Performance Space Exploration Option 1: Monte-Carlo  Monte-Carlo loop around nominal reliability simulation  Chi-square goodness-of-fit to find a good PDF at every time-point  Accurate but very slow Option 2: Design of experiments (DoE) + Response Surface Model (RSM)  Goal: faster while maintaining accuracy  Means  DoE: make every sample count!  Monte-Carlo on RSM ?

CAS-FEST 2010 © E. Maricau, K.U. Leuven 35 Variability Aware Reliability Simulation Factor Space Exploration Screening Linear model Detect interactions Regression Interactions Weak non-linear effects Polynomial RSM Residual analysis Error estimation [Maricau DATE 10]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 36 Stochastic Reliability Simulation  Stochastic Unreliability Effects Breakdown BTI in sub 45 nm Technologies  Circuit Aging Time-dependent transistor parameter shift » e.g.  V TH =f(t) Time-dependent transistor parameter standard deviation » e.g.  (V TH )=f(t)

CAS-FEST 2010 © E. Maricau, K.U. Leuven 37 Stochastic Reliability Simulation

CAS-FEST 2010 © E. Maricau, K.U. Leuven 38 Stochastic Reliability Simulation  Treat aging effects as a time-dependent factor Spatial factors Time-dependent factors  More factors means longer simulation time! Factor minimization based on sensitivity analysis [Maricau DATE 11]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 39 Example: 65nm CMOS IDAC

CAS-FEST 2010 © E. Maricau, K.U. Leuven 40 Simple Current Mirror

CAS-FEST 2010 © E. Maricau, K.U. Leuven 41 Sensitivity Analysis Current Mirror  8 transistors + 2 resistors: 37 factors  After sensitivity analysis: 25 factors

CAS-FEST 2010 © E. Maricau, K.U. Leuven 42 6-bit Current-Steering DAC  Transimpedance amplifier Not sensitive to aging  Current sources SBD effects cause time-dependent transistor mismatch

CAS-FEST 2010 © E. Maricau, K.U. Leuven 43 IDAC Simulation Results [Maricau DATE 11]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 44 Contents  Introduction  Reliability Effect Modeling  Reliability Simulation  Reliability-aware Design  Conclusions

CAS-FEST 2010 © E. Maricau, K.U. Leuven 45 Design for Failure Resilience  Intrinsically robust circuits Worst-case overdesign to account for aging effects Consumes extra power and area  Self-healing circuits adapt circuits at run-time to compensate for the degradation » reconfiguration or tuning of the circuit » digital calibration required performance is kept, although degradation is present Tools are needed to analyze the circuit at design time and to find adequate solutions!

CAS-FEST 2010 © E. Maricau, K.U. Leuven 46 Intrinsically Robust Circuits [Maricau DATE 10]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 47 Self-healing Circuits  Run-time adaptability adapt circuits at run-time to compensate for the degradation » reconfiguration or tuning of the circuit required performance is kept, although degradation is present concept of Knobs and Monitors:

CAS-FEST 2010 © E. Maricau, K.U. Leuven 48 Example: A High-Voltage Line Driver  Output driver overview:  Equivalent Model: [Serneels, ISSCC2007]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 49 Example: A High-Voltage Line Driver  Guarantee minimum efficiency  Breakdown monitors [De Wit DRVW08]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 50 Example: A High-Voltage Line Driver  Measurements are ongoing (65nm CMOS)

CAS-FEST 2010 © E. Maricau, K.U. Leuven 51 Other Work  Acar did something similar for a output driver [ISSCC 2008]  Singh [Singh, CICC 2010], Karl [Karl, VLSI 2009] and Keane [Keane, JSSC 2010] proposed in-situ aging monitors for BTI, HCI and TDDB  Industry DARPA: “Self-healing mixed signal integrated circuits (HEALICs)” project. [Karl ISSCC08]

CAS-FEST 2010 © E. Maricau, K.U. Leuven 52 Conclusions  Spatial and temporal reliability are an issue in nanometer CMOS analog IC design  Accurate modeling and efficient CAD tools are needed to assist the designer Design for reliability Increase design margins  Solutions have been proposed Accurate transistor aging models for BTI, HC and TDDB effects Efficient Circuit Reliability Simulator methods Design solutions » Robust design » Self-healing circuits