Process Variation Mohammad Sharifkhani. Reading Textbook, Chapter 6 A paper in the reference.

Slides:



Advertisements
Similar presentations
Circuit Research Lab, Intel
Advertisements

ITRS December 2003, Hsin-Chu Taiwan How Much Variability Can Designers Tolerate? Andrew B. Kahng ITRS Design ITWG December 1, 2003.
6.1 Transistor Operation 6.2 The Junction FET
Treinamento: Testes Paramétricos em Semicondutores Setembro 2012
Design Rule Generation for Interconnect Matching Andrew B. Kahng and Rasit Onur Topaloglu {abk | rtopalog University of California, San Diego.
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
The Impact of Variability on the Reliability of Long on-chip Interconnect in the Presence of Crosstalk Basel Halak, Santosh Shedabale, Hiran Ramakrishnan,
Adapted from Digital Integrated Circuits, 2nd Ed. 1 IC Layout.
EE/MAtE1671 Front-End-Of-Line Variability Considerations EE/MatE 167 David Wahlgren Parent.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 22, 2009 Statistical Static Timing Analysis.
EE/MAtE1671 Process Variability EE/MatE 167 David Wahlgren Parent.
S. Reda EN160 SP’07 Design and Implementation of VLSI Systems (EN0160) Lecture 20: Circuit Design Pitfalls Prof. Sherief Reda Division of Engineering,
Statistical Crosstalk Aggressor Alignment Aware Interconnect Delay Calculation Supported by NSF & MARCO GSRC Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego.
Design Sensitivities to Variability: Extrapolations and Assessments in Nanometer VLSI Y. Kevin Cao *, Puneet Gupta +, Andrew Kahng +, Dennis Sylvester.
Institute of Digital and Computer Systems 1 Fabio Garzia / Finding Peak Performance in a Process23/06/2015 Chapter 5 Finding Peak Performance in a Process.
Lecture #25a OUTLINE Interconnect modeling
11/8/2004EE 42 fall 2004 lecture 291 Lecture #29 CMOS fabrication, clocked and latched circuits Last lecture: PMOS –Physical structure –CMOS –Dynamic circuits.
Statistical Gate Delay Calculation with Crosstalk Alignment Consideration Andrew B. Kahng, Bao Liu, Xu Xu UC San Diego
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
Items for Discussion Chip reliability & testing Testing: who/where/what ??? GBTx radiation testing GBTx SEU testing Packaging – Low X0 options, lead free.
MOS Capacitors MOS capacitors are the basic building blocks of CMOS transistors MOS capacitors distill the basic physics of MOS transistors MOS capacitors.
Analog Layout.
EZ-COURSEWARE State-of-the-Art Teaching Tools From AMS Teaching Tomorrow’s Technology Today.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 11: October 1, 2010 Variation.
Design Rules EE213 VLSI Design.
CAD for Physical Design of VLSI Circuits
Metallization: Contact to devices, interconnections between devices and to external Signal (V or I) intensity and speed (frequency response, delay)
Introduction to FinFet
CMOS Analog Design Using All-Region MOSFET Modeling 1 CMOS Analog Design Using All-region MOSFET Modeling Chapter 3 CMOS technology, components, and layout.
Limitations of Digital Computation William Trapanese Richard Wong.
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – Lab 7 MOSFET Parameters.
The George Washington University School of Engineering and Applied Science Department of Electrical and Computer Engineering ECE122 – Lab 7 MOSFET Parameters.
Scaling II Mohammad Sharifkhani. Reading Textbook I, Chapter 2 Textbook II, Section 3.5, Section 4.5.3, Section 5.6.
CSCE 613: Fundamentals of VLSI Chip Design Instructor: Jason D. Bakos.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
Modern VLSI Design 4e: Chapter 3 Copyright  2008 Wayne Wolf Topics n Pseudo-nMOS gates. n DCVS logic. n Domino gates. n Design-for-yield. n Gates as IP.
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 24: April 22, 2015 Statistical Static Timing Analysis.
STA with Variation 1. 2 Corner Analysis PRCA (Process Corner Analysis):  Takes 1.nominal values of process parameters 2.and a delta for each parameter.
VLSI Design Lecture 3: Parasitics of CMOS Wires Mohammad Arjomand CE Department Sharif Univ. of Tech. Adapted with modifications from Harris’s lecture.
1 Interconnect/Via. 2 Delay of Devices and Interconnect.
AoE Project Nano-Process Modeling: Lithography modeling and device fabrication Philip Chan, Mansun Chan Department of ECE, HKUST Edmund Lam Department.
IC Fabrication/Process
1 Tau 2002 Explicit Computation of Performance as a Function of Process Parameters Lou Scheffer.
Variation. 2 Sources of Variation 1.Process (manufacturing) (physical) variations:  Uncertainty in the parameters of fabricated devices and interconnects.
EE201C : Stochastic Modeling of FinFET LER and Circuits Optimization based on Stochastic Modeling Shaodi Wang
Scaling I Mohammad Sharifkhani. Reading Text book II (pp. 123)
Penn ESE370 Fall Townley & DeHon ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 3, 2012 Layout and.
UTB SOI for LER/RDF EECS Min Hee Cho. Outline  Introduction  LER (Line Edge Roughness)  RDF (Random Dopant Fluctuation)  Variation  Solution – UTB.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 12: October 3, 2011 Variation.
EE141 © Digital Integrated Circuits 2nd Manufacturing 1 Manufacturing Process Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital Integrated.
RTL Simulator for VChip 1999/11/11 이재곤. RTL Simulator for VChip  현재 상황 Compiled-code 로 변환 중  VBS 의 내장된 obj 파일을 이용하려 하였으나 제 대로 구현되어 있지 않음  Obj 파일 :
L ECE 4243/6243 Fall 2016 UConn F. Jain Notes Chapter L11 (page ). FET Operation slides Scaling Laws of FETs (slides 9-22)
MOS Field-Effect Transistors (MOSFETs)
Chapter 4b Process Variation Modeling
Manufacturing Process I
積體電路元件與製程 半導體物理 半導體元件 PN junction CMOS 製程 MOS 元件.
Timing Analysis and Optimization Considering Process Variation
Process & Product checks
Challenges in Nanoelectronics: Process Variability
Optional Reading: Pierret 4; Hu 3
Manufacturing Process I
Impact of Parameter Variations on Multi-core chips
Is Co-existence Possible?
Reading (Rabaey et al.): Sections 3.5, 5.6
How Thin is the Ice? How Variability and Yield Drive Physical Design.
Technology scaling Currently, technology scaling has a threefold objective: Reduce the gate delay by 30% (43% increase in frequency) Double the transistor.
Manufacturing Process I
Parametric Yield Estimation Considering Leakage Variability Rajeev Rao, Anirudh Devgan, David Blaauw, Dennis Sylvester Present by Fengbo Ren Apr. 30.
THE INTERCONNECT.
Presentation transcript:

Process Variation Mohammad Sharifkhani

Reading Textbook, Chapter 6 A paper in the reference

Introduction What is process variation? –Deviation from intended or designed values Types: –Environmental Arise during circuit operation –Power supply, temprature, etc. –Physical Parametric variation of the process –Processing, masking, etc.

Introduction The variation do not scale as much! –Check ITRS Parameters under variation for interconnects: –W, T, H, p (resistivity) Why is it important?

Introduction Why is it important? –Interpath correlation  Yield The max delay of K path in a lot of chips

Introduction Intergate correlation –  Yield

Introduction Impact on performance

Introduction Impact on Price!

Sources of Variation (Lithography) Lithography –Sub-wavelength lithography

Sources of Variation: Lithography Optical Proximity Correction (OPC) –modifies layout to compensate for process distortions –Add non-electrical structures to layout to control diffraction of light Rule-based or odelbased

Sources of Variation: Lithography

Gate Length Variation Horizontal variation

Chemical Mechanical Planarization(CMP)

Etch/polishing variation CMP) Vertically affects the wire caps, resistance, etc.

Erosion and Dishing

Stress Induced Variability

Random Doping Variation –RDF: Random location and distribution of the dopant atoms  Vth variation of 10/sqrt(W) mV/um½

Environmental Sources: Temperature

Environmental Sources: IR Drop

Categorization

Intra-die –Within die variations –Due to Layout patterns (e.g, two interconnects) –Systematic, lithography, lens, etc. Wafer level trends (e.g, slanted plane) –Induces mismatch (between different paths) Inter-die –Variation between nominally identical dies (on the same wafer) –Shift in the mean of a parameter (Vth, wire width) –Simplified distributions are possible to capture variance –Easier to model based on systematic trends on the wafer (bowl shape  Speed)

Inter die vs. Intra die

Temporal variations

Temporal variation (short scale)

Lumped statistics Regardless of the reason behind variation –Combination of reasons Find the mean, variance for individual parameters Assuming uncorrelated Results in worst case scenarios

Survey of process variation Device geometry variation –Film thickness variation Gate oxide; critical, yet well controlled. Causes Inter-die variation –Lateral dimension variation Lithography limitation, lens, etching, etc.; affects effective length and width, both inter and intra die variation Channel length variation dominates output current characteristics, vth, etc.

Survey of process variation Device material parameter variation –Doping variation; affects junction depth, threshold voltage Drain eng. (Halo) gives rise to variation Intra-die variation –Deposition variation; affects the resistivity (silicide and metal) Variation in Contact and Line differences

Effect on device electrical parameter variation –V th variation; geometric variations, charge trapped in oxide and RDF; 10% of the Vth of the smallest device –Leakage current  exponential relationship with Vth Survey of process variation

Vth variation

Leakage vs. Freq.

Survey of process variation Effect on interconnect electrical parameter variation –Line width and line space variation; the smaller the worse  resistance variation and capacitance variation  coupling variation –Metal thickness; resistance variation (up to 20% of line thickness can be etched) –Dielectric thickness; coupling capacitance variation; deposition can vary up to 5%, polishing –Contact and via size; resistance variation due to etching, layer thickness

Modeling variation Statistical modeling –Model parameter extraction; We can not measure L or W, but Ids for a given Vgs. Model fitting using a number of devices. It is difficult and very inaccurate The process itself changes in time Worst case analysis and design is prefered

Worst-case analysis If we assume all varying parameters are uncorrolated, we end up with an overly pessimistic situation

Worst case analysis Finding worst case situation that actually happens –Worst case models (corners) –Provided by manufacturing companies –If a design passes the design spec, it provides an acceptable Yield

Spatial variation modeling (mismatch) Have long been studied in Analog circuits –The variance in the mismatch is twice as much as the variance of individual variables (if they are uncorrelated) –If they are close  correlation Layout information needed ahead of time –If averaging happens  less variance (e.g., larger devices mismatch  area)

Example An example will be shown in Timing section