Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British.

Slides:



Advertisements
Similar presentations
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
Advertisements

FPGA (Field Programmable Gate Array)
Dan Lander Haru Yamamoto Shane Erickson (EE 201A Spring 2004)
Cadence Design Systems, Inc. Why Interconnect Prediction Doesn’t Work.
ECE 506 Reconfigurable Computing Lecture 6 Clustering Ali Akoglu.
BSPlace: A BLE Swapping technique for placement Minsik Hong George Hwang Hemayamini Kurra Minjun Seo 1.
Floating-Point FPGA (FPFPGA) Architecture and Modeling (A paper review) Jason Luu ECE University of Toronto Oct 27, 2009.
Architecture Design Methodology. 2 The effects of architecture design on metrics:  Area (cost)  Performance  Power Target market:  A set of application.
EECE579: Digital Design Flows
1 A Lithography-friendly Structured ASIC Design Approach By: Salman Goplani* Rajesh Garg # Sunil P Khatri # Mosong Cheng # * National Instruments, Austin,
Power Modeling and Architecture Evaluation for FPGA with Novel Circuits for Vdd Programmability Yan Lin, Fei Li and Lei He EE Department, UCLA
Physical Design Outline –What is Physical Design –Design Methods –Design Styles –Analysis and Verification Goal –Understand physical design topics Reading.
Evolution of implementation technologies
An Efficient Chiplevel Time Slack Allocation Algorithm for Dual-Vdd FPGA Power Reduction Yan Lin 1, Yu Hu 1, Lei He 1 and Vijay Raghunathan 2 1 EE Department,
A Cost-Driven Lithographic Correction Methodology Based on Off-the-Shelf Sizing Tools.
The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays The Memory/Logic Interface in FPGA’s with Large Embedded Memory Arrays Steven J.
SLIP 2000April 9, Wiring Layer Assignments with Consistent Stage Delays Andrew B. Kahng (UCLA) Dirk Stroobandt (Ghent University) Supported.
HARP: Hard-Wired Routing Pattern FPGAs Cristinel Ababei , Satish Sivaswamy ,Gang Wang , Kia Bazargan , Ryan Kastner , Eli Bozorgzadeh   ECE Dept.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
CSET 4650 Field Programmable Logic Devices
TLC: Transmission Line Caches Brad Beckmann David Wood Multifacet Project University of Wisconsin-Madison 12/3/03.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 7 Programmable.
Lecture 2: Field Programmable Gate Arrays September 13, 2004 ECE 697F Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays.
VLSI Physical Design Automation
Power Reduction for FPGA using Multiple Vdd/Vth
CAD for Physical Design of VLSI Circuits
UC San Diego / VLSI CAD Laboratory Toward Quantifying the IC Design Value of Interconnect Technology Improvement Tuck-Boon Chan, Andrew B. Kahng, Jiajia.
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 4 Programmable.
LOPASS: A Low Power Architectural Synthesis for FPGAs with Interconnect Estimation and Optimization Harikrishnan K.C. University of Massachusetts Amherst.
Un/DoPack: Re-Clustering of Large System-on-Chip Designs with Interconnect Variation for Low-Cost FPGAs Marvin Tom* Xilinx Inc.
Open Discussion of Design Flow Today’s task: Design an ASIC that will drive a TV cell phone Exercise objective: Importance of codesign.
TSV-Aware Analytical Placement for 3D IC Designs Meng-Kai Hsu, Yao-Wen Chang, and Valerity Balabanov GIEE and EE department of NTU DAC 2011.
Abhishek Pandey Reconfigurable Computing ECE 506.
Amalgam: a Reconfigurable Processor for Future Fabrication Processes Nicholas P. Carter University of Illinois at Urbana-Champaign.
An Efficient Clustering Algorithm For Low Power Clock Tree Synthesis Rupesh S. Shelar Enterprise Microprocessor Group Intel Corporation, Hillsboro, OR.
1 Moore’s Law in Microprocessors Pentium® proc P Year Transistors.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
J. Christiansen, CERN - EP/MIC
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR FPGA Fabric n Elements of an FPGA fabric –Logic element –Placement –Wiring –I/O.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
Programmable Logic Devices
VLSI Physical Design: From Graph Partitioning to Timing Closure Chapter 6: Detailed Routing © KLMH Lienig 1 What Makes a Design Difficult to Route Charles.
Design Space Exploration for Application Specific FPGAs in System-on-a-Chip Designs Mark Hammerquist, Roman Lysecky Department of Electrical and Computer.
Congestion Estimation and Localization in FPGAs: A Visual Tool for Interconnect Prediction David Yeager Darius Chiu Guy Lemieux The University of British.
Topics Architecture of FPGA: Logic elements. Interconnect. Pins.
Timing-Driven Routing for FPGAs Based on Lagrangian Relaxation
Section 1  Quickly identify faulty components  Design new, efficient testing methodologies to offset the complexity of FPGA testing as compared to.
1 Carnegie Mellon University Center for Silicon System Implementation An Architectural Exploration of Via Patterned Gate Arrays Chetan Patel, Anthony Cozzie,
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
Directional and Single-Driver Wires in FPGA Interconnect Guy Lemieux Edmund LeeMarvin TomAnthony Yu Dept. of ECE, University of British Columbia Vancouver,
An Improved “Soft” eFPGA Design and Implementation Strategy
EE 587 SoC Design & Test Partha Pande School of EECS Washington State University
1 Field-programmable Gate Array Architectures and Algorithms Optimized for Implementing Datapath Circuits Andy Gean Ye University of Toronto.
FPGA Logic Cluster Design Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
© PSU Variation Aware Placement in FPGAs Suresh Srinivasan and Vijaykrishnan Narayanan Pennsylvania State University, University Park.
Interconnect Driver Design for Long Wires in FPGAs Edmund Lee University of British Columbia Electrical & Computer Engineering MASc Thesis Presentation.
Delivered by.. Love Jain p08ec907. Design Styles  Full-custom  Cell-based  Gate array  Programmable logic Field programmable gate array (FPGA)
Congestion-Driven Re-Clustering for Low-cost FPGAs MASc Examination Darius Chiu Supervisor: Dr. Guy Lemieux University of British Columbia Department of.
Interconnect Characteristics of 2.5-D System Integration Scheme Yangdong (Steven) Deng & Wojciech P. Maly
Interconnect Driver Design for Long Wires in FPGAs Edmund Lee, Guy Lemieux & Shahriar Mirabbasi University of British Columbia, Canada Electrical & Computer.
FPGA-Based System Design: Chapter 3 Copyright  2004 Prentice Hall PTR Topics n FPGA fabric architecture concepts.
Slide 1 SLIP 2004 Payman Zarkesh-Ha, Ken Doniger, William Loh, and Peter Bendix LSI Logic Corporation Interconnect Modeling Group February 14, 2004 Prediction.
The Interconnect Delay Bottleneck.
ELEN 468 Advanced Logic Design
Chapter 10: IC Technology
An Automated Design Flow for 3D Microarchitecture Evaluation
Chapter 10: IC Technology
HIGH LEVEL SYNTHESIS.
Chapter 10: IC Technology
Chapter 3b Leakage Efficient Chip-Level Dual-Vdd Assignment with Time Slack Allocation for FPGA Power Reduction Prof. Lei He Electrical Engineering Department.
Presentation transcript:

Impact of Interconnect Architecture on VPSAs (Via-Programmed Structured ASICs) Usman Ahmed Guy Lemieux Steve Wilton System-on-Chip Lab University of British Columbia

2 What is a Structured ASIC? An FPGA without reprogrammable interconnect –Interconnect is mask-programmed Interconnect Layers Transistors

3 What is a Structured ASIC? An FPGA without reprogrammable interconnect –Interconnect is mask-programmed Two types Interconnect Layers Transistors Via Programmable VPSA This Talk

4 What is a Structured ASIC? An FPGA without reprogrammable interconnect –Interconnect is mask-programmed Two types Interconnect Layers Transistors Metal Programmable MPSA Via Programmable VPSA This TalkFPT 2009

Key Messages 1. Structured ASICs will be the key technology of the future. 5

Key Messages 1. Structured ASICs will be the key technology of the future. Because the key issues that make structured ASICs attractive have not been solved. They are growing more prominent. 6

Key Messages 2. Interconnect matters. 1. Structured ASICs will be the key technology of the future. Because the key issues that make structured ASICs attractive have not been solved. They are growing more prominent. 7 MPSAs have better performance, VPSAs are cheaper.

8 Motivation for Structured ASICs Enormous NRE + Design cost limit access to advanced process Many ICs are still manufactured with old process technologies –New processes (90nm and below) 49% of TSMC revenue in 2009 Q1 FPGAs not suitable for low power, consumer-oriented, hand-held devices SAs reduce mask cost + risk SAs must reduce design effort SAs make advanced processes profitable SAs lower power, lower cost than FPGAs

9 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, cost trends Conclusions

10 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, cost trends Conclusions

11 VPSA Die-Cost Cost is more important than die area Primary cost components –Die Area –Number of configurable layers (New for structured ASICs) Secondary cost components –Die Yield –Wafer and processing cost –Volume requirements

12 VPSA Cost Model

13 VPSA Cost Model

14 VPSA Cost Model

15 VPSA Cost Model

16 VPSA Cost Model Die Area and Yield: N gdpw Configurable layers: N vl Fixed layers: N fm, N fm, N fm, N fm Mask/wafer cost: C sml,C smu,C wpm, N mprl Volume requirements: V tot, V c C custom C base C proto Primary Cost Variables Constants lmvu

17 VPSA Cost Model Configurability Die Area 1 … 5 via layers 4503 (10mm 2 die) … 450 (100mm 2 die)

18 VPSA Cost Model $4800 $440 $0.74 $1.08 Key Assumptions –45nm Maskset cost: $2.5M –Total volume: 2M –Per-customer volume: 100k –No. of spins: 2 Configurability Die Area 1 … 5 via layers 4503 (10mm 2 die) … 450 (100mm 2 die)

19 VPSA Cost Model At constant cost, area can be traded for number of customizable layers VPSA

20 VPSA Cost Model At constant cost, area can be traded for number of customizable layers VPSA 11 mm 2 /layer

21 VPSA Cost Model At constant cost, area can be traded for number of customizable layers VPSAMPSA 11 mm 2 /layer30 mm 2 /layer

22 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, cost trends Conclusions

23 Metrics Cost –Detailed cost model (just presented) Area –Placement grid size after whitespace insertion Determined by CAD flow Delay and Power –Please see paper

24 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, cost trends Conclusions

25 CAD Flow

26 CAD Flow - Simulated annealing too slow - Uniform whitespace distribution - Logic cells snapped to grid

27 CAD Flow - Increase Placement Grid Size

28 CAD Flow - Routing graph for only single basic tile - Expand wavefront only along the global route

29 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, cost trends Conclusions

30 Routing Fabrics Crossover Fabric All wires same length!

31 Routing Fabrics Crossover Fabric All wires same length!

32 Routing Fabrics Crossover Fabric All wires same length!

33 Routing Fabrics Jumper Fabric Long wires OK!

34 Routing Fabrics Jumper Fabric Long wires OK!

35 Routing Fabrics Jumper Fabric Long wires OK!

36 Routing Fabric Comparison Crossover FabricJumper Fabric Single via to extend All wires same: length-1 Two vias to extend Short segments: 1 blocks Long segments: 4 blocks, staggered Two variants –Jumper20: 20% Long segments –Jumper40: 40% Long segments

37 Logic Block Model Characteristics of logic block –Physical dimensions (in wire pitches) –Pin locations Do not need low-level layout details

38 Parameterize Logic Block Cover wide search space for logic blocks Vary layout density –Dense: Determined by # pins (small layout area) –Sparse: Determined by Standard Cell implementation Vary logic capacity –Sweep number of inputs and outputs 2-input, 1-output logic blocks (shown here) 16-input, 8-output logic blocks (also in paper) –Use logic clustering (T-VPack) as tech-mapper

39 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, cost trends Conclusions

40 Area, Cost Trends Experimental results –MCNC benchmarks Geometric mean over 19 large circuits –Logic block density Dense, medium, and sparse –Logic block capacity From 2-input, 1-output to 16-input, 8-outputs Only 2-input, 1-output results shown here

41 Area and Die-Cost Trends AreaCost

42 Area and Die-Cost Trends Area Cost MPSA < Crossover < Jumper N vl = 1 more area, needs whitespace to route

43 Area and Die-Cost Trends AreaCost MPSAs: more layers  higher cost VPSAs: more layers  lower cost MPSA < Crossover < Jumper N vl = 1 more area, needs whitespace to route

44 Area and Die-Cost Trends AreaCost MPSAs: more layers  higher cost VPSAs: more layers  lower cost MPSA < Crossover < Jumper N vl = 1 more area, needs whitespace to route

45 Area and Die-Cost Trends Sparse layout is better! ??? –Less whitespace needed Need to study whitespace allocation AreaCost

Delay and Power Trends Key results (in paper): MPSA is significantly better than VPSA

47 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, cost trends Conclusions

48 Conclusions Trends for VPSAs –Die-cost more important than die-area –MPSAs better in Area, Delay, and Power –VPSAs better in Cost –Interconnect Matters Performance varies with different routing fabrics Even significant variation among VPSA structures Ongoing research –Interconnect architectures –Whitespace insertion algorithm

49 Limitations CAD framework available online This is early work … need improvements! –Whitespace insertion –Buffer insertion –Delay/Power of logic blocks –Power/clock network area overhead –SRAM-configurable logic blocks

Key Message 2. Interconnect matters. 1. Structured ASICs will be the key technology of the future. Because the key issues that make structured ASICs attractive have not been solved. They are growing more prominent. MPSAs have better performance, VPSAs are cheaper. 50

51

52 CAD Framework Available

Power and Delay Trends

54 Metrics Area –Determined from placement grid size Delay –Average net delay (Elmore model) Register locations unknown; critical path delay calculation is difficult CAD flow is not timing driven Power –Total metal + via capacitance

55 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, delay, power, cost trends Cost model sensitivity Conclusions

56 Power Trends

57 Power Trends Significant range for different routing fabrics More custom via layers → Lower Power –Especially for dense layouts

58 Power Trends Re-Normalized to MPSAs VPSAs use more power –2x (sparse) to 6x (dense) more than MPSAs

59 Delay Trends

60 Delay Trends

61 Delay Trends

62 Delay Trends Significant range for different fabrics –Delay improves with more custom via layers Jumper Fabric: Long segments improve delay (but higher power)

63 Re-Normalized to MPSAs VPSA delay up to 20x worse Delay Trends

64 Delay Trends Why is VPSA delay worse than MPSA delay? Re-Normalized to MPSAs VPSA delay up to 20x worse

65 Delay Trends Re-Normalized to MPSAs VPSA delay up to 20x worse more vias more wirelength

66 Delay Trends more vias more wirelength Re-Normalized to MPSAs VPSA delay up to 20x worse

67 Delay Trends more vias more wirelength Re-Normalized to MPSAs VPSA delay up to 20x worse

68

Cost Model Sensitivity

70 Talk Outline Cost model Experimental methodology –Metrics –CAD flow –Architecture modeling Area, delay, power, cost trends Cost model sensitivity Conclusions

71 Cost Model Sensitivity How sensitive is the die-cost to various factors? Primary factors –Die area –Number of customizable layers Secondary factors –Maskset cost –Volume requirements –Number of fixed lower masks

72 Cost Model Sensitivity VPSAs less sensitive to maskset cost –Sensitivity to Maskset Cost

73 Cost Model Sensitivity VPSA cost increases more rapidly than MPSAs –Large area of VPSAs –Sensitivity to Number of Fixed Lower Masks ( )

74 Cost Model Sensitivity VPSAs less sensitive to customer volume than MPSAs –Sensitivity to Per Customer Volume (V c )

75