1 EECS 219B Spring 2001 Timing Optimization Andreas Kuehlmann.

Slides:



Advertisements
Similar presentations
Automatic Test Generation and Logic Optimization.
Advertisements

Timing Analysis - Delay Analysis Models
FPGA-Based System Design: Chapter 4 Copyright  2004 Prentice Hall PTR Topics n Logic synthesis. n Placement and routing.
Timing Optimization. Optimization of Timing Three phases 1globally restructure to reduce the maximum level or longest path Ex: a ripple carry adder ==>
ECE 667 Synthesis & Verificatioin - FPGA Mapping 1 ECE 667 Synthesis and Verification of Digital Systems Technology Mapping for FPGAs D.Chen, J.Cong, DAOMap.
Improving Placement under the Constant Delay Model Kolja Sulimma 1, Ingmar Neumann 1, Lukas Van Ginneken 2, Wolfgang Kunz 1 1 EE and IT Department University.
FPGA Technology Mapping Dr. Philip Brisk Department of Computer Science and Engineering University of California, Riverside CS 223.
Global Flow Optimization (GFO) in Automatic Logic Design “ TCAD91 ” by C. Leonard Berman & Louise H. Trevillyan CAD Group Meeting Prepared by Ray Cheung.
Optimizing high speed arithmetic circuits using three-term extraction Anup Hosangadi Ryan Kastner Farzan Fallah ECE Department Fujitsu Laboratories University.
Combining Technology Mapping and Retiming EECS 290A Sequential Logic Synthesis and Verification.
Modern VLSI Design 2e: Chapter4 Copyright  1998 Prentice Hall PTR.
Technology Mapping.
Digital Design – Optimizations and Tradeoffs
Modern VLSI Design 2e: Chapter 6 Copyright  1998 Prentice Hall PTR Topics n Shifters. n Adders and ALUs.
A Probabilistic Method to Determine the Minimum Leakage Vector for Combinational Designs Kanupriya Gulati Nikhil Jayakumar Sunil P. Khatri Department of.
Logic Synthesis 3 Outline –Multi-Level Logic Optimization –Local Transformations –Weak Division Goal –Understand multi-level optimization –Understand local.
Modern VLSI Design 2e: Chapter 4 Copyright  1998 Prentice Hall PTR Topics n Crosstalk. n Power optimization.
FPGA Technology Mapping. 2 Technology mapping:  Implements the optimized nodes of the Boolean network to the target device library.  For FPGA, library.
Digital Integrated Circuits© Prentice Hall 1995 Combinational Logic COMBINATIONAL LOGIC.
1 A Method for Fast Delay/Area Estimation EE219b Semester Project Mike Sheets May 16, 2000.
Adders. Full-Adder The Binary Adder Express Sum and Carry as a function of P, G, D Define 3 new variable which ONLY depend on A, B Generate (G) = AB.
Lec 17 : ADDERS ece407/507.
Escaping local optimas Accept nonimproving neighbors – Tabu search and simulated annealing Iterating with different initial solutions – Multistart local.
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Interconnect design. n Crosstalk. n Power optimization.
POWER-DRIVEN MAPPING K-LUT-BASED FPGA CIRCUITS I. Bucur, N. Cupcea, C. Stefanescu, A. Surpateanu Computer Science and Engineering Department, University.
Arithmetic Building Blocks
ECE 260B – CSE 241A Static Timing Analysis 1http://vlsicad.ucsd.edu ECE260B – CSE241A Winter 2005 Logic Synthesis Website:
Description and Analysis of MULTIPLIERS using LAVA.
University of British Columbia Dept. of Electrical and Computer Engineering November 30, 2007 A Combined Clustering and Placement Algorithm for FPGAs Mark.
05/04/06 1 Integrating Logic Synthesis, Tech mapping and Retiming Presented by Atchuthan Perinkulam Based on the above paper by A. Mishchenko et al, UCAL.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Combinational network delay. n Logic optimization.
Modern VLSI Design 3e: Chapter 4 Copyright  1998, 2002 Prentice Hall PTR Topics n Interconnect design. n Crosstalk. n Power optimization.
Modern VLSI Design 4e: Chapter 6 Copyright  2008 Wayne Wolf Topics n Shifters. n Adders and ALUs.
4. Combinational Logic Networks Layout Design Methods 4. 2
Combinational and Sequential Mapping with Priority Cuts Alan Mishchenko Sungmin Cho Satrajit Chatterjee Robert Brayton UC Berkeley.
DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen and Jason Cong Computer Science Department University of California,
FPGA-Based System Design: Chapter 4 Copyright  2003 Prentice Hall PTR Topics n Number representation. n Shifters. n Adders and ALUs.
1 1 © 2003 Thomson  /South-Western Slide Slides Prepared by JOHN S. LOUCKS St. Edward’s University.
Logic Restructuring for Timing Optimization
Topics Combinational network delay.
Penn ESE535 Spring DeHon 1 ESE535: Electronic Design Automation Day 23: April 20, 2015 Static Timing Analysis and Multi-Level Speedup.
EE141 © Digital Integrated Circuits 2nd Arithmetic Circuits 1 Digital Integrated Circuits A Design Perspective Arithmetic Circuits Jan M. Rabaey Anantha.
Technology Mapping. 2 Technology mapping is the phase of logic synthesis when gates are selected from a technology library to implement the circuit. Technology.
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Multi-Level Logic Synthesis.
Give qualifications of instructors: DAP
Modern VLSI Design 4e: Chapter 4 Copyright  2008 Wayne Wolf Topics n Combinational network delay. n Logic optimization.
Static Timing Analysis
Timing Behavior of Gates
1 WireMap FPGA Technology Mapping for Improved Routability Stephen Jang, Xilinx Inc. Billy Chan, Xilinx Inc. Kevin Chung, Xilinx Inc. Alan Mishchenko,
DAOmap: A Depth-optimal Area Optimization Mapping Algorithm for FPGA Designs Deming Chen, Jason Cong , Computer Science Department , UCLA Presented.
Courtesy RK Brayton (UCB) and A Kuehlmann (Cadence) 1 Logic Synthesis Timing Optimization.
An Exact Algorithm for Difficult Detailed Routing Problems Kolja Sulimma Wolfgang Kunz J. W.-Goethe Universität Frankfurt.
1 Timing Closure and the constant delay paradigm Problem: (timing closure problem) It has been difficult to get a circuit that meets delay requirements.
EE141 Arithmetic Circuits 1 Chapter 14 Arithmetic Circuits Rev /12/2003 Rev /05/2003.
A Semi-Canonical Form for Sequential Circuits Alan Mishchenko Niklas Een Robert Brayton UC Berkeley Michael Case Pankaj Chauhan Nikhil Sharma Calypto Design.
1 CS 352 Introduction to Logic Design Lecture 4 Ahmed Ezzat Multi-level Gate Circuits and Combinational Circuit Design Ch-7 + Ch-8.
©2010 Cengage Learning SLIDES FOR CHAPTER 8 COMBINATIONAL CIRCUIT DESIGN AND SIMULATION USING GATES Click the mouse to move to the next page. Use the ESC.
Addition and multiplication1 Arithmetic is the most basic thing you can do with a computer, but it’s not as easy as you might expect! These next few lectures.
COE 360 Principles of VLSI Design Delay. 2 Definitions.
Reducing Structural Bias in Technology Mapping
COMBINATIONAL LOGIC.
Timing Optimization Andreas Kuehlmann
Alan Mishchenko University of California, Berkeley
Topics Logic synthesis. Placement and routing..
Sungho Kang Yonsei University
Timing Optimization.
Reinventing The Wheel: Developing a New Standard-Cell Synthesis Flow
Improvements in FPGA Technology Mapping
VLSI CAD Flow: Logic Synthesis, Placement and Routing Lecture 5
Description and Analysis of MULTIPLIERS using LAVA
Presentation transcript:

1 EECS 219B Spring 2001 Timing Optimization Andreas Kuehlmann

2 Restructuring for Timing Optimization Outline: Definitions and problem statement Overview of techniques (motivated by adders) –Tree height reduction (THR) –Generalized bypass transform (GBX) –Generalized select transform (GST) –Partial collapsing

3 Circuit Restructuring Approaches: Local: Mimic optimization techniques in adders –Carry lookahead (THR tree height reduction) –Conditional sum (GST transformation) –Carry bypass (GBX transformation) Global: Reduce depth of entire circuit –Partial collapsing –Boolean simplification

4 Tree-Height Reduction (THR) n lm ij h k a bcdefg i a b m j h k cdefg n’ Duplicated logic Critical region Collapsed Critical region Singh’88:

5 Tree-Height Reduction i a b m j h k cdefg n’ Duplicated logic i a b m j h k cdefg n’ Collapsed Critical region New delay = 5

6 Generalized bypass transform (GBX) Make critical path false –Speed up the circuit Bypass logic of critical path(s) f m =f f m+1 f n =g … f m =f f m+1 f n =g … 0 1 g’ dg __ df Boolean difference s-a-0 redundant McGeer’91:

7 GBX and KMS transform GBX gives little area increase, BUT creates an untestable fault (on control input to multiplexer) KMS transform: (remove false paths without increasing delay) f k is last node on false path that fans out. Duplicate false path {f 1,…, f k } -> {f’ 1, …, f’ k } f’ j fans out to every fanout of f j except f j+1, and f j just fans out to f j+1 Set f 0 input to f 1 to controlling value and propagate constant (can do because path is false and does not fanout) KMS results –Function of every node, except f 1, …,f k is unchanged –Added k nodes –Area added in linear in size of length of false paths; in practice small area increase.

8 KMS f m+1 f m+2 fnfn … f m+k f m+k+1 f’ m+1 f’ m+2 f’ m+k f m+1 f m+2 fnfn … f m+k f m+k+1 0 … Delay is not increased Keutzer, Malik, Saldanha’90:

9 Generalized select transform (GST) Berman’90: Late signal feeds multiplexor cdefg a b out cdefg b cdefg b a=0 a=1 out 0 1 a

10 GST vs GBX GST vs GBX … 0 1 g’ dh __ da a a b c g h cdefg b cdefg b a=0 a=1 out 0 1 a GST cdefg b cdefg b a=0 a=1 … 0 1 g’ ac g b GBX a h

11 GST vs GBX Select transform appears to be more area efficient But Boolean difference generally more efficiently formed in practice No delay/speedup advantage for either transform Can reuse parts of the critical paths for multiple fanouts on GST cdefg b cdefg b a=0 a=1 out1 0 1 a GST out2 0 1 a

12 Technology Independent Delay Reductions Generally THR, GBX, GST (critical path based methods) work OK, –but very greedy and computationally expensive Why are technology independent delay reductions hard? Lack of fast and accurate delay models –# levels, fast but crude –# levels + correction term (fanout, wires,… ): a little better, but still crude (what coefficients to use?) –Technology mapped: reasonable, but very slow –Place and route: better but extremely slow –Silicon: best, but infeasibly slow (except for FPGAs) betterbetter slowerslower

13 Clustering/partial-collapse Traditional critical-path based methods require –Well defined critical path –Good delay/slack information Problems: –Good delay information comes from mapper and layout –Delay estimates and models are weak Possible solutions: –Better delay modeling at technology independent level –Make speedup, insensitive to actual critical paths and mapped delays

14 Clustering/Partial Collapse Two-level circuits are fast –Collapse circuit to 2-level - but Huge area penalty Huge capacitive loading on inputs (can be much slower) To avoid huge area penalty –Identify clusters of nodes Each cluster has some fixed size –Perform collapse of each cluster –Simplify each node Details –How to choose the clusters? –How to choose cluster size? –How to simplify each node?

15 Lawler’s Clustering Algorithm Optimal in delay: –For a given clustering size May duplicate nodes (hence possible area penalty) –Not optimal w.r.t duplication –Use a heuristic Fast: O(m x k) –m = number of edges in network –k = maximum cluster size

16 Clustering Algorithm - Overview Label phase: (k is cluster size) –If node u is an input, label(u) := L := 0 Else L := max label of fanin of u –If (# nodes in TFI(u) with (label = L) >= k) label(u) := L+1 Cluster phase: (outputs to inputs) –If node u is an output, L := infinity Else L := max label of fanouts of u –If (label(u) < L) then create a new cluster with “root” u and with members all the nodes in TFI(u) with label = label(u) Collapse phase: –Collapse all nodes in a cluster into a single node –Note: a node may be in several clusters (causes area increase

17 Example of Clustering Result: Lawler’s algorithm gives minimum depth circuit Typically, 1.we decompose initial circuit into 2-input NANDs and invertors. 2.then cluster size k reflects # 2-input NANDs to be collapsed together. k = 3

18 Choosing k I(k): number of levels, given k d(k): duplication ratio –Number of gates in cluster network divided by number of gates in original network Determine k 0 where k 0 /d(k 0 )~2.0 For every k from 2 to k 0, compute d(k), I(k) –Use exhaustive enumeration: label and cluster (without collapse) for each k. –Each iteration is O(|E|k) Choose k such that –I(k) is minimized Break ties using d(k) –Minimize d(k) d(k) I(k) 12 k0k0

19 Area Recovery Area increase is due to node duplication –this occurs when node is in multiple clusters Two solutions: –Break clusters into smaller pieces off critical path –After cluster and collapse, recover area

20 Relabeling Procedure: Attempt to increase node labels without exceeding cluster size In reverse topological order Start : assign Increase label(u) if new-label(u) <= label(v) for each fanout v and new-label(u) = new-label(v) for each fanout v only if label(u) = label(v) before relabeling, and no cluster size is violated

21 Relabeling Example before after

22 Post-Collapse Area Recovery Algebraic factorization, but –Undo factorization if depth increases Optimization using DC’s Redundancy removal

23 Conclusions Variety of methods for delay optimization –No single technique dominates –When applied to ripple-carry adder get –Carry-lookahead adder (THR) –Carry-bypass adder (GBX) –Carry-select adder (GST) –Clustering/Partial collapse All techniques ignore false paths when assessing the delay and critical regions –Can use KMS transform to eliminate false paths without increasing delay (area increase however).