SiLab presentation on Reliable Computing Combinational Logic Soft Error Analysis and Protection Ali Ahmadi May 2008.

Slides:



Advertisements
Similar presentations
IC TESTING.
Advertisements

IHP Im Technologiepark Frankfurt (Oder) Germany IHP Im Technologiepark Frankfurt (Oder) Germany ©
Based on text by S. Mourad "Priciples of Electronic Systems" Digital Testing: Design Representation and Fault Detection
Fault-Tolerant Systems Design Part 1.
Single Event Upsets in Digital VLSI Circuits EYES Summer Internship Program 2007 University of New Mexico Vinay Jain Dr. Payman Zarkesh-Ha Final Year Undergraduate.
Single Event Upsets (SEUs) – Soft Errors By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M University, College.
April 30, Cost efficient soft-error protection for ASICs Tuvia Liran; Ramon Chips Ltd.
Microprocessor Reliability
1 Cleared for Open Publication July 30, S-2144 P148/MAPLD 2004 Rea MAPLD 148:"Is Scaling the Correct Approach for Radiation Hardened Conversions.
2007 MURI Review The Effect of Voltage Fluctuations on the Single Event Transient Response of Deep Submicron Digital Circuits Matthew J. Gadlage 1,2, Ronald.
® 1 Shubu Mukherjee, FACT Group Cache Scrubbing in Microprocessors: Myth or Necessity? Practical Experience Report Shubu Mukherjee Joel Emer, Tryggve Fossum,
Introduction to CMOS VLSI Design Lecture 19: Design for Skew David Harris Harvey Mudd College Spring 2004.
NATW 2008 Using Implications for Online Error Detection Nuno Alves, Jennifer Dworak, R. Iris Bahar Division of Engineering Brown University Providence,
Introduction to CMOS VLSI Design Clock Skew-tolerant circuits.
1 A Design Approach for Radiation-hard Digital Electronics Rajesh Garg Nikhil Jayakumar Sunil P Khatri Gwan Choi Department of Electrical and Computer.
Logic Simulation 4 Outline –Fault Simulation –Fault Models –Parallel Fault Simulation –Concurrent Fault Simulation Goal –Understand fault simulation problem.
March 16-18, 2008SSST'20081 Soft Error Rate Determination for Nanometer CMOS VLSI Circuits Fan Wang Vishwani D. Agrawal Department of Electrical and Computer.
A Delay-efficient Radiation-hard Digital Design Approach Using Code Word State Preserving (CWSP) Elements Charu Nagpal Rajesh Garg Sunil P. Khatri Department.
1 A Fast, Analytical Estimator for the SEU-induced Pulse Width in Combinational Designs By: Rajesh Garg Charu Nagpal Sunil P. Khatri Department of Electrical.
ELEN 468 Lecture 241 ELEN 468 Advanced Logic Design Lecture 24 Design for Testability.
TH EDA NTHU-CS VLSI/CAD LAB 1 Re-synthesis for Reliability Design Shih-Chieh Chang Department of Computer Science National Tsing Hua University.
Lecture 5 Fault Modeling
1 Introduction VLSI Testing. 2 Overview First digital products (mid 1940's) Complexity:low MTTF:hours Cost:high Present day products (mid 1980's) Complexity:high.
Carlos Arthur Lang Lisbôa, Luigi Carro DATE EDAA PhD Forum Dealing with Multiple Simultaneous Faults in Future Technologies INFORMÁTICA Universidade.
An Efficient Test Data Reduction Technique Through Dynamic Pattern Mixing Across Multiple Fault Models 2011 VLSI Test Symposium S. Alampally 1, R. T. Venkatesh.
Embedded Systems Laboratory Informatics Institute Federal University of Rio Grande do Sul Porto Alegre – RS – Brazil SRC TechCon 2005 Portland, Oregon,
Carlos Arthur Lang Lisbôa, Erik Schüler, Luigi Carro SRC TechCon 2005 Dealing with Multiple Simultaneous Faults in Future Technologies in Future Technologies.
Cost-Efficient Soft Error Protection for Embedded Microprocessors
Barcelona, Spain November 13, 2005 WAR-1: Assessing SEU Vulnerability Via Circuit-Level Timing Analysis 1 Assessing SEU Vulnerability via Circuit-Level.
Spring 07, Apr 17, 19 ELEC 7770: Advanced VLSI Design (Agrawal) 1 ELEC 7770 Advanced VLSI Design Spring 2007 Soft Errors and Fault-Tolerant Design Vishwani.
1 Enhancing Random Access Scan for Soft Error Tolerance Fan Wang* Vishwani D. Agrawal Department of Electrical and Computer Engineering, Auburn University,
1 paper I design and implementation of the aegis single-chip secure processor using physical random functions, isca’05 nuno alves 28/sep/06.
Motivation Yang You 1, Jinghong Chen 1, Datao Gong 2, Deping Huang 1, Tiankuan Liu 2, Jingbo Ye 2 1 Department of Electrical Engineering, Southern Methodist.
Penn ESE370 Fall DeHon 1 ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 32: November 24, 2010 Uncorrelated Noise.
1 Efficient Analytical Determination of the SEU- induced Pulse Shape Rajesh Garg Sunil P. Khatri Department of ECE Texas A&M University College Station,
IBM S/390 Parallel Enterprise Server G5 fault tolerance: A historical perspective by L. Spainhower & T.A. Gregg Presented by Mahmut Yilmaz.
Copyright © 2008 UCI ACES Laboratory Kyoungwoo Lee 1, Aviral Shrivastava 2, Nikil Dutt 1, and Nalini Venkatasubramanian 1.
Soft errors in adder circuits Rajaraman Ramanarayanan, Mary Jane Irwin, Vijaykrishnan Narayanan, Yuan Xie Penn State University Kerry Bernstein IBM.
European Test Symposium, May 28, 2008 Nuno Alves, Jennifer Dworak, and R. Iris Bahar Division of Engineering Brown University Providence, RI Kundan.
Sill Torres, Bastos: mBBICS Robust Modular Bulk Built-In Current Sensors for Detection of Transient Faults Frank Sill Torres +, Rodrigo Possamai Bastos*
Page 1EL/CCUT T.-C. Huang Mar TCH CCUT Introduction to IC Test Tsung-Chu Huang ( 黃宗柱 ) Department of Electronic Eng. Chong Chou Institute of Tech.
CALTECH CS137 Winter DeHon CS137: Electronic Design Automation Day 8: February 4, 2004 Fault Detection.
SET Fault Tolerant Combinational Circuits Based on Majority Logic
TOPIC : Different levels of Fault model UNIT 2 : Fault Modeling Module 2.1 Modeling Physical fault to logical fault.
Fault-Tolerant Systems Design Part 1.
Using Memory to Cope with Simultaneous Transient Faults Authors: Universidade Federal do Rio Grande do Sul Programa de Pós-Graduação em Engenharia Elétrica.
An introduction to Fault Detection in Logic Circuits By Dr. Amin Danial Asham.
TOPIC : Controllability and Observability
Patricia Gonzalez Divya Akella VLSI Class Project.
Eduardo L. Rhod, Álisson Michels, Carlos A. L. Lisbôa, Luigi Carro ETS 2006 Fault Tolerance Against Multiple SEUs using Memory-Based Circuits to Improve.
Manufacture Testing of Digital Circuits
Jan. 26, 2001VLSI Test: Bushnell-Agrawal/Lecture 51 Lecture 5 Fault Modeling n Why model faults? n Some real defects in VLSI and PCB n Common fault models.
TOPIC : Fault detection and fault redundancy UNIT 2 : Fault modeling Module 2.3 Fault redundancy and Fault collapsing.
Paper by F.L. Kastensmidt, G. Neuberger, L. Carro, R. Reis Talk by Nick Boyd 1.
Gill 1 MAPLD 2005/234 Analysis and Reduction Soft Delay Errors in CMOS Circuits Balkaran Gill, Chris Papachristou, and Francis Wolff Department of Electrical.
A Novel, Highly SEU Tolerant Digital Circuit Design Approach By: Rajesh Garg Sunil P. Khatri Department of Electrical and Computer Engineering, Texas A&M.
CALTECH CS137 Fall DeHon CS137: Electronic Design Automation Day 9: October 17, 2005 Fault Detection.
ELEC 7950 – VLSI Design and Test Seminar
Chandrasekhar 1 MAPLD 2005/204 Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM based FPGAs Vikram Chandrasekhar, Sk. Noor Mahammad, V. Muralidharan.
RBHD of NOT Gate By: 1. Deep Shah (10BEC089) 2. Divyarajsinh Vaghela (10BEC104)
MAPLD 2005/213Kakarla & Katkoori Partial Evaluation Based Redundancy for SEU Mitigation in Combinational Circuits MAPLD 2005 Sujana Kakarla Srinivas Katkoori.
Fault-Tolerant Resynthesis for Dual-Output LUTs Roy Lee 1, Yu Hu 1, Rupak Majumdar 2, Lei He 1 and Minming Li 3 1 Electrical Engineering Dept., UCLA 2.
SE-Aware HPC Extension : Selective Data Protection for reducing failures due to soft errors 7/20/2006 Kyoungwoo Lee.
MAPLD 2005 Reduced Triple Modular Redundancy for Tolerating SEUs in SRAM based FPGAs Vikram Chandrasekhar, Sk. Noor Mahammad, V. Muralidharan Dr. V. Kamakoti.
Maintaining Data Integrity in Programmable Logic in Atmospheric Environments through Error Detection Joel Seely Technical Marketing Manager Military &
MAPLD 2005 BOF-L Mitigation Methods for
Sequential circuits and Digital System Reliability
Design of a ‘Single Event Effect’ Mitigation Technique for Reconfigurable Architectures SAJID BALOCH Prof. Dr. T. Arslan1,2 Dr.Adrian Stoica3.
FAULT-TOLERANT TECHNIQUES FOR NANOCOMPUTERS
Seminar on Enterprise Software
Presentation transcript:

SiLab presentation on Reliable Computing Combinational Logic Soft Error Analysis and Protection Ali Ahmadi May 2008

Outline Introduction soft error analysis of combinational logic Techniques for Protection of combinational logic to soft error

Why Combinational Logic? increase in the amount of combinational logic per chip, increase in frequency of operation and a decrease in the logic gate dimensions, the contribution of combinational logic soft error rate to the MTTF is increasing. Several studies have been and are being conducted to design which are more robust against these soft errors [1]. According to [3, 4], the logic soft error rate (logic SER) will equal the unprotected memory soft error rate in little attention has been paid to increase the robustness of combinational logic elements.

Soft error analysis Soft errors arise from the interaction of alpha particles and cosmic neutrons with silicon. The electron-hole pairs generated by this interaction induce current pulses at transistor junctions, which can result in a logical fault. standard cells aren't equally sensitive, and all transistors within a standard cell don’t contribute equally to the logic SER of a gate. in combinational logic, a particle strike causes a transient error, manifesting itself as a glitch propagating to the primary outputs or the next level of flip-flops

Soft error analysis n-transistor directly connected to the output, has the highest probability of inducing a transient. 1- higher mobility of electron 2- location of transistor The failure rate values in the table are rounded values based on critical charge simulations using models calibrated with data from alpha and neutron SER measurements on memories. [1]

Circuit level protection of SER Transient probability depends heavily on the input combination, which influences the amount of sensitive area and the total drive strength of the transistors driving the output node. Use gate multiplication method [1]

How to Handle Soft Error Error detection and retry –using concurrent error detection (CED) –If an error is detected, the system recovers through rollback and retry thereby preventing a failure. Error masking (TMR) –Real-time systems

Is Soft error latched? If a soft error occurs at an internal node of a logic circuit, there are three factors that determine whether it will be latched and result in a error: 1) The rate at which an SE of sufficient strength to propagate to a latch occurs at a node 2) The probability that there exists a functionally sensitized path from the node to a latch 3) The probability that the SE is captured in latch [3]

Techniques for protection A well known circuit level design approach is triple mode redundancy (TMR). -More than 200% overhead -Sensitivity of voter itself to soft error Partial error masking - Cluster sharing reduction - Dominant value reduction

Partial error masking ( Cluster sharing reduction) soft error susceptibility of certain nodes in the logic circuit can be orders of magnitude higher than that of the other nodes in the design. nodes with low observability and controllability be clustered together. The clusters are removed from two out of the three copies of the TMR design. [2]

Partial error masking ( Cluster sharing reduction) Highlighted gates clustered. [2]

Partial error masking (Dominant value reduction) Differentiates between the logic 0 and logic 1 soft error susceptibility of a primary output. The idea is to identify such outputs and replace triplication by duplication in such instances.

Partial error masking (Dominant value reduction) original failure rate is the sum of the logic 0 and logic 1 failure rates for a single copy of the circuit. [2]

Partial error masking Combine Cluster sharing reduction and Dominant value reduction [2]

Simulation results of Partial error masking [2]

New technique for Soft error Protection Failuer Rate of a Gate –Type of gate –Input vector of gate contributions of all gates in the circuit are affected by input vectors Disadvantageous of last techniques –Didn’t consider combination of input vectors

Input stimuli methods Statistical Input Vector (SIV): uses only one (statistical) input vector All Possible Input Vectors (AIV) Random Input Vectors (RIV) - This method uses a certain number of random input vectors.

Circuit with 50% SER reduction by duplicating only 3 gates [1]

References [1] A. K. Nieuwland, S. Jasarevic, G. Jerin ” Combinational logic soft error analysis and protection”, 12th IEEE International On-Line Testing Symposium (IOLTS'06) [2] K. Mohanram, N. A. Touba” Partial error masking to reduce soft error failure rate in logic”, 18th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT’03). [3] W. Wang, H. Gong, ”Edge triggered pulse latch design with delayed latching edge for radiation hardened application”, IEEE Trans. on Nuclear Science, vol 51, no. 6, pp , Dec [4] R. Baumann, “The impact of technology scaling on soft error rate performance and limits to the efficacy of error correction”, IEDM, pp , 2002.