1 H ardware D escription L anguages Modeling Digital Systems.

Slides:



Advertisements
Similar presentations
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Advertisements

ECE 551 Digital System Design & Synthesis Lecture 08 The Synthesis Process Constraints and Design Rules High-Level Synthesis Options.
History TTL-logic PAL (Programmable Array Logic)
Introduction to VHDL (Lecture #5) ECE 331 – Digital System Design The slides included herein were taken from the materials accompanying Fundamentals of.
Copyright 2001, Agrawal & BushnellDay-1 PM Lecture 4a1 Design for Testability Theory and Practice Lecture 4a: Simulation n What is simulation? n Design.
02/02/20091 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Introduction to VHDL CSCE 496/896: Embedded Systems Witawas Srisa-an.
VHDL Intro What does VHDL stand for? VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuit Developed in 1982 by Govt. to standardize.
1/31/20081 Logic devices can be classified into two broad categories Fixed Programmable Programmable Logic Device Introduction Lecture Notes – Lab 2.
HDL-Based Digital Design Part I: Introduction to VHDL (I) Dr. Yingtao Jiang Department Electrical and Computer Engineering University of Nevada Las Vegas.
331 W05.1Spring :332:331 Computer Architecture and Assembly Language Spring 2006 Week 5: VHDL Programming [Adapted from Dave Patterson’s UCB CS152.
VHDL. What is VHDL? VHDL: VHSIC Hardware Description Language  VHSIC: Very High Speed Integrated Circuit 7/2/ R.H.Khade.
1 Chapter 7 Design Implementation. 2 Overview 3 Main Steps of an FPGA Design ’ s Implementation Design architecture Defining the structure, interface.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
(1) Modeling Digital Systems © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
1 H ardware D escription L anguages Basic Language Concepts.
CSET 4650 Field Programmable Logic Devices
ECE 332 Digital Electronics and Logic Design Lab Lab 5 VHDL Design Styles Testbenches.
ECE 2372 Modern Digital System Design
Synthesis Presented by: Ms. Sangeeta L. Mahaddalkar ME(Microelectronics) Sem II Subject: Subject:ASIC Design and FPGA.
System Arch 2008 (Fire Tom Wada) /10/9 Field Programmable Gate Array.
VHDL Introduction. V- VHSIC Very High Speed Integrated Circuit H- Hardware D- Description L- Language.
COE 405 Design and Modeling of Digital Systems
VHDL IE- CSE. What do you understand by VHDL??  VHDL stands for VHSIC (Very High Speed Integrated Circuits) Hardware Description Language.
Language Concepts Ver 1.1, Copyright 1997 TS, Inc. VHDL L a n g u a g e C o n c e p t s Page 1.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Chapter 0 deSiGn conCepTs EKT 221 / 4 DIGITAL ELECTRONICS II.
Module 1.2 Introduction to Verilog
Introduction to VHDL Spring EENG 2920 Digital Systems Design Introduction VHDL – VHSIC (Very high speed integrated circuit) Hardware Description.
1 VHDL Overview A Quick Start Tutorial. 2 What does VHDL stand for ? V HSIC H ardware D escription L anguage VHSIC: V ery H igh S peed I ntegrated C ircuits.
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
1 VHDL Overview A Quick Start Tutorial. 2 What does VHDL stand for ? V HSIC H ardware D escription L anguage VHSIC: V ery H igh S peed I ntegrated C ircuits.
Fall 08, Oct 29ELEC Lecture 7 (updated) 1 Lecture 7: VHDL - Introduction ELEC 2200: Digital Logic Circuits Nitin Yogi
Electrical and Computer Engineering University of Cyprus LAB 1: VHDL.
Introduction to VLSI Design – Lec01. Chapter 1 Introduction to VLSI Design Lecture # 11 High Desecration Language- Based Design.
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
ECE-C662 Lecture 2 Prawat Nagvajara
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
Hardware languages "Programming"-language for modelling of (digital) hardware 1 Two main languages: VHDL (Very High Speed Integrated Circuit Hardware Description.
Introduction to ASIC flow and Verilog HDL
04/26/20031 ECE 551: Digital System Design & Synthesis Lecture Set : Introduction to VHDL 12.2: VHDL versus Verilog (Separate File)
VHDL Programming Fundamentals Presented By Dr. Pradyut Kumar Biswal Department of Electronics, IIIT Bhubaneswar.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
VHDL Tutorial.
Copyright 2001, Agrawal & BushnellVLSI Test: Lecture 61 Lecture 6 Logic Simulation n What is simulation? n Design verification n Circuit modeling n True-value.
Elements of Structural Models
Combinational Logic Design
Basic Language Concepts
Design Entry: Schematic Capture and VHDL
VLSI Testing Lecture 5: Logic Simulation
B e h a v i o r a l to R T L Coding
Topics Modeling with hardware description languages (HDLs).
VLSI Testing Lecture 5: Logic Simulation
Vishwani D. Agrawal Department of ECE, Auburn University
Design Flow System Level
Topics Modeling with hardware description languages (HDLs).
Field Programmable Gate Array
Field Programmable Gate Array
Field Programmable Gate Array
ECE 434 Advanced Digital System L08
Hardware Description Languages
ECE-C662 Introduction to Behavioral Synthesis Knapp Text Ch
332:437 Lecture 8 Verilog and Finite State Machines
VHDL Introduction.
THE ECE 554 XILINX DESIGN PROCESS
H a r d w a r e M o d e l i n g O v e r v i e w
332:437 Lecture 8 Verilog and Finite State Machines
THE ECE 554 XILINX DESIGN PROCESS
Presentation transcript:

1 H ardware D escription L anguages Modeling Digital Systems

2 HDL coding Styles R egister T ransfer L evel Structural Behavioral Be careful NOT everybody gives the same meaning to the term BEHAVIORAL !

3 HDL applications High Level Modeling (Behavioral style) Design Entry (Structural & RTL styles) Simulation (Behavioral style) Validation by mean of a test bench generate stimuli observe responses instantiate design to test dut.vhd TESTBENCH dut_tb.vhd

4 Levels of Abstraction Behavioral RTL Structural

5 Behavioral Level Describe behavior (functionality and performances) All language features can be used

6 Register Transfer Level Only a small subset of the Language statements can be mapped into “Silicon”. translationHDL code generic technology unoptimized generic boolean netlist optimization & mapping target technology area and timing constraints optimized gate level netlist SYNTHESIS

7 Structural Level Sub-Modules interconnection Primitive cells interconnection (net-list) The code describes a bunch of port mappings.

8 Describing Systems  What aspects do we need to consider to describe a digital system ?  Interface  Function  Performance (delay/area/costs/…)

9 What elements should be in a VHDL description? (1)  VHDL was conceived for the description of digital systems  Keeping in mind the pragmatic issues of design re-use and portability of descriptions  Portability across technologies  Attributes of digital systems served as the starting point  Language features were designed to capture the key attributes

10 What elements should be in a VHDL description? (2)  Descriptions should support multiple levels of abstraction  The elements should enable meaningful and accurate simulation of hardware described using the elements  Elements should have attributes of time as well as function  The elements should enable the generation of hardware elements that realize a correct physical implementation  Existence of a mapping from elements to VLSI devices

11 Attributes of Digital Systems Digital systems are about:  signals and their values  events, propagation delays, concurrency  Time ordered sequence of events produces a waveform

12 Attributes of Digital Systems: Timing  Timing: computation of events takes place at specific points in time  Need to wait for an event: in this case the clock  Timing is an attribute of both synchronous and asynchronous systems D Clk S Q R Clk D Q Time (ns) Triggering edge Q

13 Attributes of Digital Systems: Timing Asynchronous communication does not have a global clock Still need to wait for events on specific signals TRANSMIT ACK

14 Attributes of Digital Systems: Signal Values possible signal values We associate logical values with the state of a signal Signal Values: IEEE 1164 Value System ValueInterpretation UUninitialized XStrong Unknown 0Strong 0 1Strong 1 ZHigh Impedance WWeak Unknown LWeak 0 HWeak 1 -Don’t Care

15 Attributes of Digital Systems: Multiple Drivers  Shared Signals  multiple drivers  How is the value of the signal determined?  arbitration protocols  wired logic (not recommended)

16 Tristate Inverter

17 Modeling Digital Systems We seek to describe attributes of digital systems common to multiple levels of abstraction events, propagation delays, concurrency waveforms and timing signal values shared signals

18 Modeling Digital Systems Hardware description languages must provide constructs for naturally describing these attributes of a specific design simulators use such descriptions for “mimicking” the physical system synthesis compilers use such descriptions for synthesizing manufacturable hardware specifications that conform to this description

19 Execution of VHDL models For Simulation Discrete event simulator For Synthesis Hardware inference The resulting circuit is a function of the building blocks used for implementation and the optimization goal Primitives: e.g. NAND vs. NOR Cost/performance objectives

20 Simulation of Digital Systems Digital systems are modeled as the generation of events (= value transitions) on signals Discrete event simulations manage the generation and ordering of events Correct sequencing of event processing Correct sequencing of computations caused by ns v1  v3  v5  Head 0

Discrete Event Simulation: Example 1010 U1U1 U0U0 0101 1010 0101 1010 1010 5ns 10ns Initial state: a = b = 1, sum = carry = U Event List HeadSimulation Time 0ns U1U1 U0U0 New event generated from input Update time Update signal values, execute, generate new events, update time Update signal values, execute, generate new events b a sum carry

22 Discrete Event Simulation Management of simulation time: ordering of events Two step model of the progression of time Evaluate all affected components at the current time: events on input signals Schedule future events and move to the next time step: the next time at which events take place

23 Simulation Modeling VHDL programs describe the generation of events in digital systems Discrete event simulator manages event ordering and progression of time Accuracy vs. time trade-offs Greater detail  more events  greater accuracy Less detail  smaller number of events  faster simulation speed b a sum carry VHDL Model compiler Discrete Event Simulator

24 Synthesis and Hardware Inference Synthesis compiler HDL Design Specification

25 Summary VHDL is used to describe digital systems and hence has language constructs for the following key attributes Events, propagation delays, and concurrency Timing, and waveforms Signal values and use of multiple drivers for a signal

26 Summary VHDL has an underlying discrete event simulation model Model the generation of events on signals Built in mechanisms for managing events and the progression of time Designer simply focuses on writing accurate descriptions

27 VHDL Design Organization Entity the “symbol” interface (input/output ports) Architecture one of the several possible implementation of the design Configuration binding between the symbol and one of the many possible implementation. Can be used to express hierarchy.