SOC Consortium Course Material ASIC Logic Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授 May 21, 2003 National Taiwan University Adopted from.

Slides:



Advertisements
Similar presentations
Nios Multi Processor Ethernet Embedded Platform Final Presentation
Advertisements

purpose Search : automation methods for device driver development in IP-based embedded systems in order to achieve high reliability, productivity, reusability.
Presenter : Shao-Chieh Hou VLSI Design, Automation and Test, VLSI-DAT 2007.
Maciej Gołaszewski Tutor: Tadeusz Sondej, PhD Design and implementation of softcore dual processor system on single chip FPGA Design and implementation.
Week 1- Fall 2009 Dr. Kimberly E. Newman University of Colorado.
Feng-Xiang Huang A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures.
VirtexIIPRO FPGA Device Functional Testing In Space environment. Performed by: Mati Musry, Yahav Bar Yosef Instuctor: Inna Rivkin Semester: Winter/Spring.
Configurable System-on-Chip: Xilinx EDK
1 Project supervised by: Dr Michael Gandelsman Project performed by: Roman Paleria, Avi Yona 26/4/2004 Multi-channel Data Acquisition System Final_A Presentation.
Implementation of DSP Algorithm on SoC. Mid-Semester Presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompaning engineer : Emilia Burlak.
Implementation of DSP Algorithm on SoC. Characterization presentation Student : Einat Tevel Supervisor : Isaschar Walter Accompany engineer : Emilia Burlak.
Lecture 7 Lecture 7: Hardware/Software Systems on the XUP Board ECE 412: Microcomputer Laboratory.
General Purpose FIFO on Virtex-6 FPGA ML605 board midterm presentation
© 2011 Xilinx, Inc. All Rights Reserved This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
Programmable Logic- How do they do that? 1/16/2015 Warren Miller Class 5: Software Tools and More 1.
Part 1 Using the ARM board And start working with C Tutorial 5 and 6
The 6713 DSP Starter Kit (DSK) is a low-cost platform which lets customers evaluate and develop applications for the Texas Instruments C67X DSP family.
Final Year Project Presentation A Remote FPGA Laboratory Environment David Hehir 4 th Year EE Supervisor: Fearghal Morgan.
NetBurner MOD 5282 Network Development Kit MCF 5282 Integrated ColdFire 32 bit Microcontoller 2 DB-9 connectors for serial I/O supports: RS-232, RS-485,
A Company Selling Technology and not just a Product.
COE4OI5 Engineering Design Chapter 2: UP2/UP3 board.
Meier208/MAPLD DMA Controller for a Credit-Card Size Satellite Onboard Computer Michael Meier, Tanya Vladimirova*, Tim Plant and Alex da Silva Curiel.
ISE. Tatjana Petrovic 249/982/22 ISE software tools ISE is Xilinx software design tools that concentrate on delivering you the most productivity available.
SOC Consortium Course Material ASIC Logic National Taiwan University Adopted from National Chiao-Tung University IP Core Design.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
COE4OI5 Engineering Design. Copyright S. Shirani 2 Course Outline Design process, design of digital hardware Programmable logic technology Altera’s UP2.
The integrated Development of Embedded linux and SOC IP
11 Using SPIRIT for describing systems to debuggers DSDP meeting February 2006 Hobson Bullman – Engineering Manager Anthony Berent – Debugger Architect.
1 Keyboard Controller Design By Tamas Kasza Digital System Design 2 (ECE 5572) Summer 2003 A Project Proposal for.
Lecture #3 Page 1 ECE 4110– Sequential Logic Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.No Class Monday, Labor Day Holiday 2.HW#2 assigned.
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
On Chip Bus National Taiwan University
SOC Consortium Course Material Memory Controller National Taiwan University Adopted from National Taiwan University SoC Design Laboratory.
NIOS II Ethernet Communication Final Presentation
Introduction to FPGA Created & Presented By Ali Masoudi For Advanced Digital Communication Lab (ADC-Lab) At Isfahan University Of technology (IUT) Department.
This material exempt per Department of Commerce license exception TSU Xilinx Tool Flow.
S&IP Consortium Course Material Standard I/O and Core Peripherals Speaker: Tian-Sheuan Chang July, 2004.
LAB1 Summary Zhaofeng SJTU.SOME. Embedded Software Tools CPU Logic Design Tools I/O FPGA Memory Logic Design Tools FPGA + Memory + IP + High Speed IO.
Part A Presentation Implementation of DSP Algorithm on SoC Student : Einat Tevel Supervisor : Isaschar Walter Accompanying engineer : Emilia Burlak The.
Lecture #3 Page 1 ECE 4110–5110 Digital System Design Lecture #3 Agenda 1.FPGA's 2.Lab Setup Announcements 1.HW#2 assigned Due.
Programmable Logic Training Course HDL Editor
CascadedBCDCntr&Display Aim : Capture, simulate and implement a 2-digit, loadable BCD up/down counter, with chip enable I/P (CE) and chip enable O/P (CEO).
SOC Consortium Course Material Core Peripherals National Taiwan University Adopted from National Chiao-Tung University IP Core Design.
ACCESS IC LAB Graduate Institute of Electronics Engineering, NTU FPGA Design with Xilinx ISE Presenter: Shu-yen Lin Advisor: Prof. An-Yeu Wu 2005/6/6.
© 2004 Xilinx, Inc. All Rights Reserved Embedded Processor Design.
1 Hardware/Software Co-Design Final Project Emulation on Distributed Simulation Co-Verification System 陳少傑 教授 R 黃鼎鈞 R 尤建智 R 林語亭.
Electronic system level design Teacher : 蔡宗漢 Electronic system level Design Lab environment overview Speaker: 范辰碩 2012/10/231.
NTU ARM Lab. Lab. 5 Memory Controller 指導教授 : 吳安宇 教授 學生 : 李源華 R 鍾慶諺 R 陳宗裕 P
Part A Final Dor Obstbaum Kami Elbaz Advisor: Moshe Porian August 2012 FPGA S ETTING U SING F LASH.
SOC Consortium Course Material Standard IO National Taiwan University Adopted from National Taiwan University SoC Design Laboratory.
Speaker: Yu-Ju Cho 卓余儒 Advisor: Prof. Andy Wu 吳安宇教授
Teaching Digital Logic courses with Altera Technology
ASIC Design Methodology
Lab 1: Using NIOS II processor for code execution on FPGA
CA Final Project – Multithreaded Processor with IPC Interface
Introduction to Programmable Logic
FPGA IRRADIATION and TESTING PLANS (Update)
ENG3050 Embedded Reconfigurable Computing Systems
Overview of Embedded SoC Systems
Figure 1 PC Emulation System Display Memory [Embedded SOC Software]
Course Agenda DSP Design Flow.
Speaker: Tian-Sheuan Chang July, 2004
ChipScope Pro Software
ChipScope Pro Software
數位IC設計 Pei-Yin Chen, 陳培殷.
Speaker: Yu-Ju Cho 卓余儒 Advisor: Prof. An-Yeu Wu 吳安宇教授
Computer System Laboratory
Lab 9 ASIC Logic 第八組 R 陳方玉 R 陳建宏 R 柯鴻洋
JTAG, Multi-ICE and Angel
Speaker: Yu-Ju Cho 卓余儒 Advisor: Prof. An-Yeu Wu 吳安宇教授
Presentation transcript:

SOC Consortium Course Material ASIC Logic Speaker: Lung-Hao Chang 張龍豪 Advisor: Prof. Andy Wu 吳安宇教授 May 21, 2003 National Taiwan University Adopted from National Chiao-Tung University IP Core Design

SOC Consortium Course Material 2 Goal of This Lab  Prototyping  Familiarize with ARM Logic Module (LM)  Know how to program LM

SOC Consortium Course Material 3 Outline  Introduction  ARM System Overview  Prototyping with Logic Module  Lab – ASIC Logic

SOC Consortium Course Material 4 Introduction  Rapid Prototyping – A fast way to verify your prototype design. –Enables you to discover problems before tape out. –Helps to provide a better understanding of the design’s behavior.  ARM Integrator and Logic Module can be used for Hardware Design Verification and HW/SW co- verification. –Hardware Design Verification: using LM stand alone. –HW/SW co-verification: using LM, CM, Integrator together.

SOC Consortium Course Material 5 Outline  Introduction  ARM System Overview –ARM Synchronization Scheme: Interrupt –ARM Synchronization Scheme: Polling  Prototyping with Logic Module  Lab – ASIC Logic

SOC Consortium Course Material 6 ARM System Overview  A typical ARM system consists of an ARM core, a DSP chip for application-specific needs, some dedicated hardware accelerator IPs, storages, and some peripherals and controls.

SOC Consortium Course Material 7 ARM System Synchronization Scheme: Interrupt  A device asserts an interrupt signal to request the ARM core handle it.  The ARM core can perform tasks while the device is in use.  Needs Interrupt Controller. More hardware.

SOC Consortium Course Material 8 ARM System Synchronization Scheme: Polling  The ARM core keeps checking a register indicating if the device has done its task.  The ARM core is busy “polling” the device while the device is in use.  Less hardware.

SOC Consortium Course Material 9 Outline  Introduction  ARM System Overview  Prototyping with Logic Module –ARM Integrator AP & ARM LM –FPGA tools –Example 1 –Example 2 –Exercise  Lab – ASIC Logic

SOC Consortium Course Material 10 AP Layout

SOC Consortium Course Material 11 What is LM  Logic Module  A platform for developing Advanced Microcontroller Bus Architecture (AMBA), Advanced System Bus (ASB), Advanced High- performance Bus (AHB), and Advanced Peripheral Bus (APB) peripherals for use with ARM cores.

SOC Consortium Course Material 12  It can be used in the following ways: –As a standalone system –With an CM, and a AP or SP motherboard –As a CM with either AP or SP motherboard if a synthesized ARM core is programmed into the FPGA –Stacked without a motherboard, if one module in the stack provides system controller functions of a motherboard Using the LM

SOC Consortium Course Material 13 LM Architecture

SOC Consortium Course Material 14 Components of LM  Altera or Xilinx FPGA  Configuration PLD and flash memory for storing FPGA configurations  1MB ZBT SSRAM  Clock generators and reset sources  A 4-way flash image selection switch and an 8-way user definable switch  9 user-definable surface-mounted LEDs (8G1R)  User-definable push button  Prototyping grid  System bus connectors to a motherboard or other modules

SOC Consortium Course Material 15 LM Layout 8-way swtich 4-way swtich

SOC Consortium Course Material 16 Links  CONFIG link –Enable configuration mode, which changes the JTAG signal routing and is used to download new PLD or FPGA configurations.  JTAG, Trace, and logic analyzer connectors  Other links, switches, and small ICs can be added to the prototyping grid if required.

SOC Consortium Course Material 17 FPGA tools Xilinx GUI Synthesis Tool

SOC Consortium Course Material 18 A Timing Information Example We strongly suggest you to perform place-and-route operation on a better PC, it’s a very time-consuming work!

SOC Consortium Course Material 19 Example 1  Path =.\lab5\Codes\HW\example1\  Count up on logic analyzer channel a  Count down on logic analyzer channel b  Reset by pushbutton  LEDs scan from left to right.  Switches [0:1] (brown:red) control the clock frequency (CTRLCLK1) and affect the LEDs scanning frequency.

SOC Consortium Course Material 20 Example 1 (cont.) Example1 CLK1 nPBUTT SW[1:0] LED[7:0] LAA[15:0] LAACLK LABCLK CTRLCLK1[18:0] PWRDNCLK1, PWRDNCLK2, SnCE, FnOE, FnWE LAB[15:0] CTRLCLK2[18:0] Logic Analyser Set Frequency 1MHz Disable SSRAM and FLASH

SOC Consortium Course Material 21 On-board Clock Generators

SOC Consortium Course Material 22 Clock Signal Summary

SOC Consortium Course Material 23 Programming the LM Clock 1MHz:CTRLCLKx=19'b MHz:CTRLCLKx=19'b MHz:CTRLCLKx=19'b MHz:CTRLCLKx=19'b Constraint:

SOC Consortium Course Material 24 Example 2  The example code operates as follows: 1. Determines DRAM size on the core module and sets up the system controller 2. Checks that the logic module is present in the AP expansion position 3. Reports module information 4. Sets the logic module clock frequencies 5. Tests SSRAM for word, halfword, and byte accesses. 6. Flashes the LEDs 7. Remains in a loop that displays the switch value on the LEDs

SOC Consortium Course Material 25 Two Platform – AHB & ASB  Two versions of example 2 are provided to support the following implementations: –AHB motherboard and AHB peripherals –ASB motherboard and AHB peripherals  Which AMBA has been downloaded on board can be observed by the alphanumber display –H: AHB –S: ASB

SOC Consortium Course Material 26 AHB Platform

SOC Consortium Course Material 27 Example2 Files Description  Hardware files. \Lab7\Codes\HW\example2\Verilog –AHBAHBTop.v –AHBDecoder.v –AHBMuxS2M.v –AHBZBTRAM.v –AHB2APB.v –AHBAPBSys.v –APBIntCon.v –APBRegs.v  Software program files. \Lab7\Codes\SW\example2\ –sw.mcp –logic.c –logic.h –platform.h –rw_support.s For Xilinx synthesis tool to generate lmxcv600e_72c_xcv2000e_via_reva_build0.bit For codewarrior to generate sw.axf

SOC Consortium Course Material 28 Software Description  5 files included in. \Lab7\Codes\SW\example2\ –sw.mcp: project file –logic.c: the main C code –logic.h: constant definitions –platform.h: constant definitions –rw_support.s: assembly functions for SSRAM testing

SOC Consortium Course Material 29 Integrator Memory Map

SOC Consortium Course Material 30 Work Flow Summary

SOC Consortium Course Material 31 Outline  Introduction  ARM System Overview  Prototyping with Logic Module  Lab – ASIC Logic

SOC Consortium Course Material 32 Lab 5: ASIC Logic  Goal –HW/SW Co-verification using Rapid Prototyping  Principles –Basics and work flow for prototyping with ARM Integrator –Target platform: AMBA AHB sub- system  Guidance –Overview of examples used in the Steps  Steps –Understand the files for the example designs and FPGA tool –Steps for synthesis with Xilinx ISE 5.1i/5.2i  Requirements and Exercises –RGB-to-YUV converting hardware module. See next slide  Discussion –In example 1, explain the differences between the Flash version and the FPGA one. –In example 1, explain how to move data from DRAM to registers in MYIP and how program access these registers. –In example2, draw the interconnect among the functional units and explain the relationships of those interconnect and functional units in AHB sub-system –Compare the differences of polling and interrupt mechanism

SOC Consortium Course Material 33 Exercise: RGB to YCrCb Converter  Convert the rgb2ycrcb() into hardware module and implement it on the ARM development system. Evaluate the improvement. –Hint: you may modify ahbahbtop.v, ahbdecoder.v, ahbmuxs2m.v, and ahbzbtram.v files in example2

SOC Consortium Course Material 34 Reference [1] [1] LM-XCV2000E.pdf [2] DUI0098B_AP_UG.pdf [3] progcards.pdf