Copyright 2012 Joanne DeGroat, ECE, OSU 1 ECE 5462 HDL Design and Verification.

Slides:



Advertisements
Similar presentations
Chapter 3 Gate-Level Minimization
Advertisements

Digital System Design Subject Name : Digital System Design Course Code : IT-314.
TOPIC : SYNTHESIS DESIGN FLOW Module 4.3 Verilog Synthesis.
L23 – Adder Architectures. Adders  Carry Lookahead adder  Carry select adder (staged)  Carry Multiplexed Adder  Ref: text Unit 15 9/2/2012 – ECE 3561.
ENEL111 Digital Electronics
Digital Design with VHDL Presented by: Amir Masoud Gharehbaghi
Lecture #4 Page 1 ECE 4110–5110 Digital System Design Lecture #4 Agenda 1.VHDL History 2.Design Abstraction Announcements 1.n/a.
EELE 367 – Logic Design Module 2 – Modern Digital Design Flow Agenda 1.History of Digital Design Approach 2.HDLs 3.Design Abstraction 4.Modern Design Steps.
CMPT150, Ch 3, Tariq Nuruddin, Fall 06, SFU 1 Ch3. Combinatorial Logic Design Modern digital design involves a number of techniques and tools essential.
Combinational Logic Design Sections 3-1, 3-2 Mano/Kime.
1 Hardware description languages: introduction intellectual property (IP) introduction to VHDL and Verilog entities and architectural bodies behavioral,
Outline Chapter 1 Hardware, Software, Programming, Web surfing, … Chapter Goals –Describe the layers of a computer system –Describe the concept.
ECE Lecture 1 1 ECE 3561 Advanced Digital Design Department of Electrical and Computer Engineering The Ohio State University.
Digital System Design Verilog ® HDL Maziar Goudarzi.
Jai Henwood Introduction to VHDL ECE /24/03 Dr. Veton Këpuska.
O VERVIEW OF DIGITAL SYSTEMS Hardware Description Language 1.
(1) Introduction © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
GOOD MORNING.
COMP541 Combinational Logic - I
CSET 4650 Field Programmable Logic Devices
Electronic Design Automation. Course Outline 1.Digital circuit design flow 2.Verilog Hardware Description Language 3.Logic Synthesis –Multilevel logic.
Verilog Digital System Design Z. Navabi, McGraw-Hill, 2005
Chap. 1 Overview of Digital Design with Verilog. 2 Overview of Digital Design with Verilog HDL Evolution of computer aided digital circuit design Emergence.
ASIC/FPGA design flow. FPGA Design Flow Detailed (RTL) Design Detailed (RTL) Design Ideas (Specifications) Design Ideas (Specifications) Device Programming.
1 Lecture - 2 M.Tech. – Weekend Programme. 2 EDA Tools 1. Design Entry a.View Logic b.Mentor Graphics (Renoir) c.Cadence Design System d.OrCAD e.ALDEC.
Studio Session 1: Introduction to VHDL and related Tools EE19D – 25/01/2005.
Hardware Design Environment Instructors: Fu-Chiung Cheng ( 鄭福炯 ) Associate Professor Computer Science & Engineering Tatung University.
VLSI & ECAD LAB Introduction.
1 COMP541 Combinational Logic - I Montek Singh Jan 11, 2012.
1/8/ L3 Data Path DesignCopyright Joanne DeGroat, ECE, OSU1 ALUs and Data Paths Subtitle: How to design the data path of a processor.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
Lecture 2 1 ECE 412: Microcomputer Laboratory Lecture 2: Design Methodologies.
Welcome CSC 480/580 – Digital Logic & Computer Design Term: Winter 2002 Instructor: William T Krieger.
COE 405 Design and Modeling of Digital Systems
1/8/ L20 Project Step 8 - Data Path Copyright Joanne DeGroat, ECE, OSU1 State Machine Design with an HDL A methodology that works for documenting.
CWRU EECS 317 EECS 317 Computer Design LECTURE 1: The VHDL Adder Instructor: Francis G. Wolff Case Western Reserve University.
Copyright 2009 Joanne DeGroat, ECE, OSU 1 ECE 762 Theory and Design of Digital Computers, II (A real course title: Design and Specification of Digital.
L12 – VHDL Overview. VHDL Overview  HDL history and background  HDL CAD systems  HDL view of design  Low level HDL examples  Ref: text Unit 10, 17,
Fall 2004EE 3563 Digital Systems Design EE 3563 VHSIC Hardware Description Language  Required Reading: –These Slides –VHDL Tutorial  Very High Speed.
Spring 2007 W. Rhett Davis with minor editing by J. Dean Brock UNCA ECE Slide 1 ECE 406 – Design of Complex Digital Systems Lecture 1: Introduction.
HARDWARE DESCRIPTION LANGUAGE (HDL). What is HDL? A type of programming language for sampling and modeling of electronic & logic circuit designs It can.
An Overview of Hardware Design Methodology Ian Mitchelle De Vera.
1 Hardware Description Languages: a Comparison of AHPL and VHDL By Tamas Kasza AHPL&VHDL Digital System Design 1 (ECE 5571) Spring 2003 A presentation.
Modern VLSI Design 4e: Chapter 8 Copyright  2008 Wayne Wolf Topics Modeling with hardware description languages (HDLs).
Modern VLSI Design 3e: Chapter 8 Copyright  1998, 2002 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
M.Mohajjel. Digital Systems Advantages Ease of design Reproducibility of results Noise immunity Ease of Integration Disadvantages The real world is analog.
1/8/ L2 VHDL Introcution© Copyright Joanne DeGroat, ECE, OSU1 Introduction to VHDL.
An Introduction to Digital System Design
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
ECE Lecture 1 1 ECE 561 Digital Circuit Design Department of Electrical and Computer Engineering The Ohio State University.
Digital Design Using VHDL and PLDs ECOM 4311 Digital System Design Chapter 1.
FPGA-Based System Design Copyright  2004 Prentice Hall PTR Topics n Modeling with hardware description languages (HDLs).
Midterm Exam ReviewCopyright Joanne DeGroat, ECE, OSU1 Midterm Exam Notes.
Lecture 1 – Overview (rSp06) ©2008 Joanne DeGroat, ECE, OSU -1- Functional Verification of Hardware Designs EE764 – Functional Verification of Hardware.
1 Lecture 1: Verilog HDL Introduction. 2 What is Verilog HDL? Verilog Hardware Description Language(HDL)? –A high-level computer language can model, represent.
EECE 320 L8: Combinational Logic design Principles 1Chehab, AUB, 2003 EECE 320 Digital Systems Design Lecture 8: Combinational Logic Design Principles.
An Overview CS341 Digital Logic and Computer Organization F2003.
State Machine Design with an HDL
SUBJECT : DIGITAL ELECTRONICS CLASS : SEM 3(B) TOPIC : INTRODUCTION OF VHDL.
EMT 351/4 DIGITAL IC DESIGN Week # 1 EDA & HDL.
ASIC Design Methodology
Combinational Logic Design
Digital System Design An Introduction to Verilog® HDL
ECE 4110 – Digital Logic Design
EEE2135 Digital Logic Design Chapter 1. Introduction
ECNG 1014: Digital Electronics Lecture 1: Course Overview
HIGH LEVEL SYNTHESIS.
© Copyright Joanne DeGroat, ECE, OSU
*Internal Synthesizer Flow *Details of Synthesis Steps
Presentation transcript:

Copyright 2012 Joanne DeGroat, ECE, OSU 1 ECE 5462 HDL Design and Verification

Copyright 2012 Joanne DeGroat, ECE, OSU 2 Lecture Overview Course Intro/ Syllabus/ Grading Policy General Intro to Digital Design Backgound

Copyright 2012 Joanne DeGroat, ECE, OSU 3 Syllabus The topics list is a guide. Not a class by class syllabus. Note course objective. Note grading policy. There are many, many books on HDLs and a lot on VHDL. Most are not texts; these books are more for reference and often assume you know the language. There are also several books on verification. No verification text is required. Material will be provided. Note general policies on syllabus.

Copyright 2012 Joanne DeGroat, ECE, OSU 4 Intro What is a digital system? –Digital (Webster) –System

Copyright 2012 Joanne DeGroat, ECE, OSU 5 Intro What is a digital system? Digital (Webster) – Of or relating to the technology of computers and data communications wherein all information is encoded as bits of 1s and 0s that represent on or off states. Contrast with analog. Digital implies discrete states. System – A composite of equipment, skills, techniques, and information capable of performing and/or supporting an operational role in attaining specified management objectives. A complete system includes related facilities, equipment, material, services, personnel, and information required for its operation to the degree that it can be considered a self-sufficient unit in its intended operational and/or support environment.

Copyright 2012 Joanne DeGroat, ECE, OSU 6 Graphical Perspective A Digital System may be an Application Specific IC (ASIC) or a general purpose computer. “Computers are the most important type of digital system.” “Virtually every aspect of digital system design is encountered in computer design.” (Hill and Peterson, “Digital Logic and Microprocessors”)

Copyright 2012 Joanne DeGroat, ECE, OSU 7 Digital System Design Process “Design is a series of transformations.” At each step decisions are made that bind the design, moving it toward an implementation. Design begins at a high level of abstraction and moves to a very detailed level of abstraction. (DeGroat 2005)

Copyright 2012 Joanne DeGroat, ECE, OSU 8 Digital System Design Process “Design is a series of transformations.” At each step decisions are made that bind the design, moving it toward an implementation. Design begins at a high level of abstraction and moves to a very detailed level of abstraction suitable for implementation.

Copyright 2012 Joanne DeGroat, ECE, OSU 9 Example Addition of 2 numbers to produce a sum Design Decisions –2 input vectors –Addition operation –Single output vector –A & B format - ?? – Binary numbers, 16 bits each, unsigned –Architecture - ?? – ripple adder, carry lookahead –Implementation technology – CMOS, Bipolar, ECL, … Design Decisions are significantly impacted by the specifications

Copyright 2012 Joanne DeGroat, ECE, OSU 10 HDL Design Process Start with design idea Do a behavioral design for reference RTL level design –Design data path –Design control path Use a synthesis tool to produce a gate netlist Physical Design – place gates and wire so IC can be fabricated Production

Copyright 2012 Joanne DeGroat, ECE, OSU 11 An example From ASiC Technology & News – “Why ASICs fail in the system.” –Listen to story about a design that …. Key points from story. –“Designers knew design was right” –“found a functional error” –Re-fab and still Chips exploded. –Months passed slowly.

Story line A narration by the CEO –Design was completed – HDL written, chip design generated, design sent to fab –First chip came back and sent to test. –When tested – the chip package blew the top off. –Why, oh, why? –Design was checked for power-ground short – nope. –More and more checks done. Nothing significant found. –Did find a few errors and re-fabbed the chip. –Chips still exploded!!!! –Another investigation. –Now the time passed very, very slowly. –Finally found out that extra metal was added by foundry to enable even metal deposition. Added metal was not grounded!!! Copyright 2012 Joanne DeGroat, ECE, OSU 12

Copyright 2012 Joanne DeGroat, ECE, OSU 13 HDL motivations HDL used to describe hardware for purpose of: –Simulation –Documentation –Modeling –Testing –Design HDLs provide a convenient and compact format for the hierarchical representation of function and wiring details of digital systems.

Copyright 2012 Joanne DeGroat, ECE, OSU 14 PAST HDLs ISPS – Instruction Set Processor Specification –Language for describing the behavior of digital systems –Developed at CMU –Based on ISP notation

Copyright 2012 Joanne DeGroat, ECE, OSU 15 PAST HDLs AHPL – A Hardware Programming Language –Designed for representation in an academic environment –Developed at the University of Arizona.

Copyright 2012 Joanne DeGroat, ECE, OSU 16 Other HDLs Genrad Hardware Description Language –Describes hardware as a netlist of components. –Developed by Genrad Corporation, UK

Copyright 2012 Joanne DeGroat, ECE, OSU 17 Other HDLs CDL – Computer Design Language –A dataflow language – no hierarchy CONLAN – Consensus Language –Attempt to establish a standard language. Family of languages for describing hardware at various levels of abstraction. IDL – Interactive Design Language –Internal IBM – Supports Hierarchy – Originally designed for generation of PLAs, then extended TEGAS – Texas Instruments Hardware Description Language –Internal TI – Multilevel language for design and description – hierarchical

Copyright 2012 Joanne DeGroat, ECE, OSU 18 Other HDLs (cont) ZEUS –GE language – hierarchical – functional descriptions – structural descriptions – No provision for gate delay specification or timing constraints – Does not support asynchronous designs. Verilog –Hierarchical – Developed by Cadence Design Systems – Procedural descriptions for behavior – Built in features for timing and a fixed logic value system. Now also a standard. Used by ~60% of market. UDL1 –Standard language that was developed in Japan – hierarchical – 1 to 1 mapping of language constructs to hardware structures – Designed for synthesis System C –NEW – now also a standard and supported by tools – had penetrated to about 10% to 15 % of the market.

Copyright 2012 Joanne DeGroat, ECE, OSU 19 VHDL VHDL – VHSIC Hardware Description Language A standard language – the first. Development began in Language Requirements set in st Version – Version 7.2 with prototype simulation tools – st Standard – IEEE Standard approved in New version in Also versions in 1997, 2000, 2002, and a new version was approved in September Work on a new version is progressing – language will have some new features.

Copyright 2012 Joanne DeGroat, ECE, OSU 20 VHDL features Procedural Features –Would make a very good concurrent programming language. Up until now file I/O support was poor. Dataflow design Structural – Hierarchy Self defined Value System and capability to design your own if you would need to. –A valuable feature of the language –Some recent work created to ability to do fault simulation in VHDL through creation of a custom value system package. Semantics and Paradigm formally defined in LRM

Copyright 2012 Joanne DeGroat, ECE, OSU 21 In Summary There is no way we would have systems of today’s complexity without the development and evolution of HDLs. Has allowed for design methodology change and refinement as new capabilities such a synthesis became available. HDLs are living languages. –Evolution shown in 2008 standard – 140% increase. Today’s systems are just too complex to stay with the design methodologies of the 1980s and even to early 1990s. –Consider the time to design a modern processor!

Copyright 2012 Joanne DeGroat, ECE, OSU 22 The Future ????

A web search in 2012 A new evolution from the 4004 in 1971 (108kHz,2300 transistors,10u) to the Dual core Xeon (>3GHz,820M transistors, 45nm). Copyright 2012 Joanne DeGroat, ECE, OSU 23

A web seach Aug 25, 2015 From Wikipedia –1971 TMS 1000 – 8000 transistors – 8um –1971 Intel 4004 – 2300 transistors – 10 um –1972 Intel 8008 – 3500 transistors –1979 Motorola – 68,000 transistors –1993 Pentium – 3.1 M transistors -.8um –2000 Pentium 4 – 55 M –2006 Pentium 4 Cedar Mill – 184 M - 65nm –2008 Core i7 – 731 M - 45nm –2010 Quad Core Itanium – 2 B –2015 IBM z13 Storage Controller – 7.1 B 22nm Copyright 2012 Joanne DeGroat, ECE, OSU 24