1 ICCD-2014, 141020 The ITRS MPU and SOC System Drivers: Calibration and Implications for Design-Based Equivalent Scaling in the Roadmap Wei-Ting Jonas.

Slides:



Advertisements
Similar presentations
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
Advertisements

IRC Roll-Out/Plenary 4/4 Technology Node identified by xx90 –Minimum Half-Pitch of Metal 1 of either DRAM or Logic –Logic node presently being represented.
The International Technology Roadmap for Semiconductors
ITRS Design ITWG ITRS Design + System Drivers July 9-10, 2012 Design ITWG Masaru Kakimoto (Japan) Juan-Antonio Carballo (USA) Gary Smith (USA) David.
International Technology Roadmap for Semiconductors
Summer Public Conference ORTC 2010 Update Messages
FEP ITWG Meeting Notes (not for publication – work in progress) ITRS Summer Conference 2011, SF 1 Front End Processes ITRS 2011 Public Conference 13 July.
Design and System Drivers Worldwide Design ITWG: T
ITRS Design ITWG Design and System Drivers Worldwide Design ITWG Key messages: 1.- Software is now part of semiconductor technology roadmap 2.-
International Technology Roadmap for Semiconductors
ITRS Roadmap Design + System Drivers Makuhari, December 2007 Worldwide Design ITWG Good morning. Here we present the work that the ITRS Design TWG has.
ITRS Design + System Drivers July, 2010 Design ITWG Juan-Antonio Carballo Tamotsu Hiwatashi William Joyner Andrew Kahng Noel Menezes Shireesh Verma.
Overall Roadmap Technology Characteristics (ORTC) 2012
Assembly and Packaging TWG
DRAFT - NOT FOR PUBLICATION 14 July 2004 – ITRS Summer Conference ITRS FEP Challenges Continued scaling will require the introduction of new materials.
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
An International Technology Roadmap for Semiconductors
ITRS Design ITWG Design and System Drivers Worldwide Design ITWG Key actions / messages: 1.Software, system level design productivity critical.
Tunable Sensors for Process-Aware Voltage Scaling
TO COMPUTERS WITH BASIC CONCEPTS Lecturer: Mohamed-Nur Hussein Abdullahi Hame WEEK 1 M. Sc in CSE (Daffodil International University)
Kwangok Jeong and Andrew B. Kahng UCSD VLSI CAD Laboratory
Design The Role of EDA in SoC Design HKSTP International Technology Conference January 14, 2003 Dr. Chi-Foon Chan President and Chief Operating Officer.
ECE 6466 “IC Engineering” Dr. Wanda Wosik
CHALLENGES IN EMBEDDED MEMORY DESIGN AND TEST History and Trends In Embedded System Memory.
NC STATE UNIVERSITY FreePDK15 An Open-Source Predictive Process Design Kit for 15nm FinFET Technology Kirti Bhanushali, W. Rhett Davis (NCSU) International.
Introduction to CMOS VLSI Design Lecture 21: Scaling and Economics
Lecture 2: Modern Trends 1. 2 Microprocessor Performance Only 7% improvement in memory performance every year! 50% improvement in microprocessor performance.
VLSI Trends. A Brief History  1958: First integrated circuit  Flip-flop using two transistors  From Texas Instruments  2011  Intel 10 Core Xeon Westmere-EX.
Study of Floating Fill Impact on Interconnect Capacitance Andrew B. Kahng Kambiz Samadi Puneet Sharma CSE and ECE Departments University of California,
EE 466: VLSI Design Instructor: Amlan Ganguly TA: Souradip Sarkar Meeting: MWF, 12.10pm, Sloan-38.
S. Reda EN160 SP’08 Design and Implementation of VLSI Systems (EN1600) Lecture 18: Scaling Theory Prof. Sherief Reda Division of Engineering, Brown University.
ITRS-2001 Design ITWG Plan December 6, 2000 Bill Joyner, SRC/IBM.
ASIC Design Introduction - 1 The history of Integrated Circuit (IC) The base for such a significant progress –Well understanding of semiconductor physics.
A Methodology for Interconnect Dimension Determination By: Jeff Cobb Rajesh Garg Sunil P Khatri Department of Electrical and Computer Engineering, Texas.
1 VLSI and Computer Architecture Trends ECE 25 Fall 2012.
ITRS Factory Integration Difficult Challenges Last Updated: 30 May 2003.
Figure 9.1. Use of silicon oxide as a masking layer during diffusion of dopants.
CBSSS 2002: DeHon Costs André DeHon Wednesday, June 19, 2002.
CSE 494: Electronic Design Automation Lecture 2 VLSI Design, Physical Design Automation, Design Styles.
ITRS 2000 Update Work In Progress - Do Not Publish! 1 ITRS/ORTC Table Update Technology Node, DRAM Chip Size, and Logic Chip Size Update, Based on the.
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
NUMERICAL TECHNOLOGIES, INC. Assessing Technology tradeoffs for 65nm logic circuits D Pramanik, M Cote, K Beaudette Numerical Technologies Inc Valery Axelrad.
Proposed Roadmap Tables on STRJ-WG1
Present – Past -- Future
Distributed Computation: Circuit Simulation CK Cheng UC San Diego
Caltech CS184 Winter DeHon 1 CS184a: Computer Architecture (Structure and Organization) Day 6: January 19, 2005 VLSI Scaling.
ITRS-2001 Joint Meeting Design ITWG / USA Design TWG February 4, 2001 SF Marriott, Pacific G, 4pm-10pm PST Dial-in: , Participant Code
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
1 Overview of Fabrication Processes of MOSFETs and Layout Design Rules.
Caltech CS184 Winter DeHon 1 CS184a: Computer Architecture (Structure and Organization) Day 6: January 22, 2003 VLSI Scaling.
Overview of VLSI 魏凱城 彰化師範大學資工系. VLSI  Very-Large-Scale Integration Today’s complex VLSI chips  The number of transistors has exceeded 120 million 
ITRS 2001 Renewal Work In Progress - Do Not Publish!
Simultaneous Multi-Layer Access Improving 3D-Stacked Memory Bandwidth at Low Cost Donghyuk Lee, Saugata Ghose, Gennady Pekhimenko, Samira Khan, Onur Mutlu.
Scaling Beyond 7nm: Design-Technology Co-optimization at the Rescue
EE141 © Digital Integrated Circuits 2nd Introduction 1 EE4271 VLSI Design Dr. Shiyan Hu Office: EERC 731 Adapted and modified from Digital.
20-NM CMOS DESIGN.
TECHNOLOGY TRENDS.
UCSD CSE and ECE Departments
Architecture & Organization 1
Architecture & Organization 1
Chapter 10: IC Technology
Overview of VLSI 魏凱城 彰化師範大學資工系.
Transistors on lead microprocessors double every 2 years Moore’s Law in Microprocessors Transistors on lead microprocessors double every 2 years.
Summary Current density in a signal line was estimated, based on the simple circuit shown in Fig.1. This circuit is scaled down according to ITRS 2003.
Chapter 10: IC Technology
Computer Evolution and Performance
COMS 361 Computer Organization
Chapter 10: IC Technology
Unit -4 Introduction to Embedded Systems Tuesday.
Presentation transcript:

1 ICCD-2014, The ITRS MPU and SOC System Drivers: Calibration and Implications for Design-Based Equivalent Scaling in the Roadmap Wei-Ting Jonas Chan 1 Andrew B. Kahng 1,2 Siddhartha Nath 2 Ichiro Yamamoto 3 1 ECE and 2 CSE Departments, UC San Diego, USA 3 Rohm Co. Ltd., Japan

2 ICCD-2014, Outline Overview of ITRS Design and System Drivers Roadmaps Architectural and Area Models of MPU and SOC Design Capacity Gap and Design Equivalent Scaling Power Modeling and Power Management Gap Conclusions

3 ICCD-2014, International Technology Working Groups (ITWGs) forecast technology requirements, potential solutions 15-year horizon Emerging Devices, Emerging Materials: +10 more years outlook Each regional working group = industry + government + suppliers + consortia + academia System DriversDesign Process Integ, Devices & StructuresFront End Processes Emerging Research Devices Emerging Research Materials LithographyInterconnect Factory IntegrationAssembly & Packaging Test and Test EquipmentMetrology Yield EnhancementModeling & Simulation Environment, Safety & HealthRF/AMS Tech for Wireless Comm System DriversDesign Process Integ, Devices & StructuresFront End Processes Emerging Research Devices Emerging Research Materials LithographyInterconnect Factory IntegrationAssembly & Packaging Test and Test EquipmentMetrology Yield EnhancementModeling & Simulation Environment, Safety & HealthRF/AMS Tech for Wireless Comm The ITWGs

4 ICCD-2014, MPU and SOC System Drivers Status System driver models: Semiconductor products which define the technology needs Drivers are added or removed due to the industry evolution 2013 update: MPU Power Connectivity Cost (MPU-PCC) is dropped (for high-mobility PCs): Boundary with SOC-CP is vague since SOC-CP is increasing the performance target 2013 update: SOC Consumer Stationary (SOC-CS) is dropped (for game consoles) Boundary with MPU-CP is vague

5 ICCD-2014, Outline Overview of ITRS Design and System Drivers Chapters Architectural and Area Models of MPU and SOC Design Capacity Gap and Design Equivalent Scaling Power Modeling and Power Management Gap Conclusions

6 ICCD-2014, Heartbeat of the ITRS: Technology Nodes Key metric of (density) progress: half-pitch (F) Metal-1 (M1) half-pitch scales by 0.7x 0.7 x 0.7 = 0.49  density doubles at each “technology node” Scaling in both X, Y dimensions Layer Normalizations to P M F0.50 M1 Pitch (P M1 )1.00 M2 Pitch (P M2 ) Contacted Poly Pitch (CPP) (P poly ) 1.50 Fin Pitch (P fin ) P/G Track Width--1.50

7 ICCD-2014, Logic A-factor Model with FinFET (2013) 3  P poly 9  P M2 U logic = 3P poly  9P M2 = 162 F 2 calibrated  155F 2 Logic A-factor models developed using NAND2 layout (U NAND2 ) area now use FinFET devices New patterning limiter: P fin Assumption: P fin = 0.75 P M1 Fin MOL VIA0 Metal VIAx Poly Contact NWell P/G Rail Poly Contact Mx WAS: NAND2 A-factor = 175 in 2011 model IS: NAND2 A-factor = 155 in 2013 model

8 ICCD-2014, T SRAM A-factor Model with FinFET (2013) Height = 2P poly Width = 6.5P fin Area = 2P poly × 6.5P fin = 2 × (1.5 × P M1 ) × 6.5 × (0.75 × P M1 ) = 58.5F 2 (similar to bulk/SOI) A-factor = 60 (after calibration) The ratio of transistors of pull-down / pull-up is 2 in a 6T SRAM cell. The Spacing rule: (1) 0.75P fin for each of bitline (2) 1×P fin for each of pull-down N-channel transistor (3) 1×P fin for each of P/N channel isolation (4) 1×P fin for P-channel transistors The height of the cell is 2×P poly, same as the ITRS 2011 model (1) (2) (3) (4) (3) (2) (1)

9 ICCD-2014, Area Model Summary Area models for MPU and SOC are based on: New A-factor model New overheads/ Design Equivalent Scaling (DES) Calibration with silicon data from Chipworks S logic = O eq-logic ∙ U logic ∙ N core ∙ N gate S SRAM = O SRAM ∙ U SRAM ∙ N core ∙ N bits S die = O integration ∙ (S logic + S SRAM ) U {logic/SRAM} : A-factor of logic/SRAM Overhead/DESComments Overhead due to peripheral, refresh logic, whitespace. Reliability, stability, yield and manufacturing issues increase overhead from 2020 Overhead due to pitch relaxation, complex and greater than minimum-sized cells Overhead due to wiring and logic in uncore Overhead due to whitespace and PDN for logic Overhead due to whitespace, wiring of IP blocks, interfaces, etc.

10 ICCD-2014, MPU Model Revision SRAM1 SRAM2 SRAM3 SRAM5 SRAM4 core1core2 core3core4 Accelerators Memory Controller I/O interfaces GPUs O integration O SRAM O logic O Afactor-logic O uncore-logic Key components to address different densities and overheads: Logic SRAM Uncore (new in 2013 model) New overheads O uncore-logic O Afactor-logic Calibrated O integration + Previous overheads O SRAM O logic

11 ICCD-2014, WAS: SOC-CP for mobile phone Area: 100mm 2 IS: SOC-CP for smart phone Area: 140mm 2 AudioBluetooth Modem AudioBluetooth Multi-mode modem VideoWifi 2D graphics Reference application of SOC-CP is changing WAS: feature phones with basic applications (by processing engines, PE) IS: smartphones with rich multimedia/gaming applications GPU now key component in mobile AP Design challenge of SOC-CP  high diversity of functionalities SOC-CP Model Revision

12 ICCD-2014, SOC-CP Area Trend WAS: PE / Memory dominate IS: GPU dominates area (19% in 2013, 44% in 2028)

13 ICCD-2014, SOC-CP Performance Challenge Performance requirement is extrapolated from historical demands Available processing performance improvement Improved by faster devices Improved by increasing #PE and GPUs Significant gap after 2015 calls out for desperate demand for architecture and design methodology improvements Performance gap {Processing performance} = {#main processors}×{main processor frequency}+ {#GPUs}×{GPU frequency}

14 ICCD-2014, Outline Overview of ITRS Design and System Drivers Chapters Architectural and Area Models of MPU and SOC Design Capacity Gap and Design Equivalent Scaling Power Modeling and Power Management Gap Conclusions

15 ICCD-2014, Issue: Design Capability Gap 2013 Design Capability Gap Available density growing at 2x/node Pushed by Moore’s Law Realizable density growing at 1.6x/node Resource (= area) are invested on guardband, reliability, etc. Designers can only comprehend part of the Moore’s Law benefits

16 ICCD-2014, The “Design Capability Gap” 2x / node 2 2/3 ~1.587x / node (1) (2) (1) AND (2) (1)Uncore overheads (2)A-factor overheads DESIGN CAPABILITY GAP! UCSD CSE Dept. Technical Report #CS

17 ICCD-2014, The Design Equivalent Scaling 2x / node 2 2/3 ~1.587x / node Design Equivalent Scaling: Recover the 2× per node scaling for degraded 1.6 × per node

18 ICCD-2014, Outline Overview of ITRS Design and System Drivers Chapters Architectural and Area Models of MPU and SOC Design Capacity Gap and Design Equivalent Scaling Power Modeling and Power Management Gap Conclusions

19 ICCD-2014, Updates of Power SOC/MPU Models Frequency roadmap keeps 1.04×/year Device and BEOL parameters (e.g. gate/wire capacitance) are updated from PIDS/INTC ITWGs MPU-HP max frequency resets to 5.5GHz (↓) SOC-CP max frequency (main processors) resets to 2.4GHz (↑) The System Drivers working group defines multiple scenarios for SOC-CP power model to reflect application-oriented power management Year Power Scenario of MPUSingle Scenario Power Scenario of SOCSingle ScenarioMultiple Scenario Frequency scaling of MPU and SOC 1.04x / year SOC-CP Max (main processors) MPU-HP Max

20 ICCD-2014, Scenario-based SOC-CP Power Model Aggressive block-level power gating/frequency scaling are applied to SOC- CP We define four scenarios for applications and five categories of function blocks Total SOC-CP power for a scenario is the weighted sum of each function block Gaming: major long-term power challenge Scenario-based power model

21 ICCD-2014, Function Block-Based Frequency Roadmap (WAS) All function blocks scale at the same frequency (IS) Each block scale the frequency separately

22 ICCD-2014, Scenario-Based SOC-CP Power Model Dominant scenario: gaming with all 3D features enabled Large power gap beyond 2020 Low-power technologies (both device and design technologies) will be required Power requirement < 5W

23 ICCD-2014, Outline Overview of ITRS Design and System Drivers Chapters Design Capacity Gap and Design Equivalent Scaling Architectural and Area Models of MPU and SOC Power Modeling and Power Management Gap Conclusions

24 ICCD-2014, Conclusions SRAM and logic A-factors are updated with new calibration Area models are updated with new A-factor, and new overhead models Design Capability Gap stands between ideal density scaling and actual (realized) density scaling DES is required to restore the scaling to the Moore’s Law track Power model is updated with new frequency scaling, device parameters, and new transistor density models We have shown the low-power design challenge with the scenario-based power model for SOC-CP

25 ICCD-2014, Thank you!

26 ICCD-2014, Backup

27 ICCD-2014, Previous Challenge: Missing Node in Physical Scaling Solution: Alt-1 One node missing MPU Area exploration Rescued by DES Ideal Realistic Solution: Alt-2

28 ICCD-2014, Current 2014 ITRS Status (WAS, in our paper) missing scaling node from 2013 (IS) Litho ITWG recovers the scaling to 0.5x/4 year (IS) Litho ITWG recovers the scaling to 0.5x/4 year (WAS, in the paper camera) missing node from 2013

29 ICCD-2014, SRAM A-factor Calibration A-factor are calibrated with new silicon data The updated 22nm/14nm silicon data indicate larger A-factor than that of previous nodes For N10 (foundry node) SRAM, Design ITWG has the following updates: (WAS, 2013) SRAM A-factor was calibrated to 60 (WAS, 2013) Cell ratio (PU:PD:PG) = 1:2:1 (IS) SRAM A-factor is calibrated to 85 (IS) Cell ratio (PU:PD:PG) = 2:2:2 Updated 22nm and 14nm data indicate higher SRAM A-factors than that of previous nodes After considering new layout of FinFET SRAM, the A-factor is updated to 85 (2013: 60)

30 ICCD-2014, Calibration of A-factors with Silicon Data Data extracted from products of major semiconductor manufacturers Data collected up to 20nm foundry node After calibration

31 ICCD-2014, A-factor Density Model (2013) Models of SRAM (U SRAM ) and NAND2 (U NAND2 ) area now use FinFET New patterning limiter: P fin Assumption: P fin = 0.75 P M1 U SRAM = 2P poly  4.875P M1 = 58.5 F 2 calibrated  60F  P M1 2  P poly Fin NWell Poly Contact

32 ICCD-2014, A-factor Layout Model (2014) Alt-1: ITRS 2013 / Intel 22nm FinFET 6T SRAM (1:2:1) Assume P fin = 0.75P M1 Assume P poly = 1.5P M1 Height = 2P poly Width = 6.5P fin Area = 2P poly × 6.5P fin = 58.5F 2 (similar to bulk) A-factor = 60 (after calibration) Alt-2: FinFET 6T SRAM (1:2:1) (Intel 14nm SRAM) 2P fin 1P fin 0.75P fin 2P poly 2P fin Assume P fin = 0.75P M1 Height = 2P poly Width = 8.5P fin Area = 2P poly × 9.5P fin = 85F 2 (Intel 14nm SRAM = 87F 2 ) PG PD PG PD PU PG PD PU

33 ICCD-2014, year technical outlook for 14 supplier industries and their respective technology areas 25-year projection of technology needs for emerging research devices and materials Drivers for world wide research and funding agencies Organization divided (EU, Japan, Korea, Taiwan, USA), participants Neutrality with regard to commercial considerations Mission of ITRS Roadmap

34 ICCD-2014, Design & System Drivers INTC CMP, R, C, MOL, Jmax PIDS I d,sat, I sd,leak CV/I,f T FEP V t variation LITHO Mask cost, CD 3σ, pitch, overlay Test #cores, max IO freq Interactions between ITWGs ORTCs max chip power layout density transistor count chip size #distinct cores #cores max on-chip freq product/market drivers max chip power layout density transistor count chip size #distinct cores #cores max on-chip freq product/market drivers Fundamental Models A&P #IOs, max power, thermal, TSV/3D roadmap

35 ICCD-2014, Intel MPU Scaling Trends [Sutter09] # of Transistors Clock Frequency Power Performance/CLK (ILP)