Presentation is loading. Please wait.

Presentation is loading. Please wait.

ITRS Design ITWG 2009 1 Design and System Drivers - 2009 Worldwide Design ITWG Key actions / messages: 1.Software, system level design productivity critical.

Similar presentations


Presentation on theme: "ITRS Design ITWG 2009 1 Design and System Drivers - 2009 Worldwide Design ITWG Key actions / messages: 1.Software, system level design productivity critical."— Presentation transcript:

1 ITRS Design ITWG 2009 1 Design and System Drivers - 2009 Worldwide Design ITWG Key actions / messages: 1.Software, system level design productivity critical to roadmap 2. Initiated reliability / resilience roadmap 3. System-level design technology is key to power efficiency 4. Design cost will be contained through innovation

2 ITRS Design ITWG 2009 2 Overview (2004-9) 1. Increasingly quantitative roadmap 2. Increasingly complete driver set 2004 2005 2006 2007 Explore Design metrics Design Technology metrics Revised Design metrics Revised Design Technology Metrics Consumer Portable Driver Consumer Stationary, Portable Drivers Consumer Stationary, Portable, Networking Drivers More Than Moore analysis + iNEMI Driver study System Drivers Chapter Design Chapter 2008 Revised Design Metrics DFM extension Updated Consumer Stationary, Portable, and Networking Drivers More Than Moore extension + iNEMI + SW !! 2009 Additional Design Metrics DFM Extension System level extension Updated Consumer Stationary, Portable architecture, and Networking Drivers More Than Moore extension + iNEMI synch + SW !!

3 ITRS Design ITWG 2009 3 ITRS Cost Chart 2009 (Millions of Dollars) IC Implementation Tool Set RTL Functional Verif. Tool SetTransaction Level Modeling Very Large Block Reuse AMP Parallel Processing Intelligent Testbench Many Core Devel. Tools SMP Parallel Processing Executable Specification Transactional Memory System Design Automation

4 ITRS Design ITWG 2009 4 System Level Design & SOFTWARE  Hardware design productivity is growing appropriately –Requirements correspond roughly with solutions –Innovations pacing properly (transistors / designer / year)  Large gap in software productivity possibly opening up –If hardware accelerators are heavily leveraged, problem mitigated –Otherwise, possibly 100X gap can affect memory size, other  Adding new parameters to requirements/solutions tables –Hardware design productivity - requirement –Software design productivity - requirement –Software design productivity (assuming only software implementation) –System design productivity innovations – solutions (Fig. 1 in chapter) (alternative Scenario)

5 ITRS Design ITWG 2009 5 Impact of Design on Power Emphasis on System Level [SW/HW]

6 ITRS Design ITWG 2009 6 Design and System Drivers - 2010 Worldwide Design ITWG Key actions / messages: 1.Continue expanding importance of SW and system level 2.Quantify design technology impact on variability, “sigma” control in process 3.Update “design cost control through innovation” chart 4. Develop design technology roadmap for 3D / TSV 5. Develop new set of Design requirements/solutions from MtM 6. Complete reliability roadmap for 2011

7 ITRS Design ITWG 2009 7 Impact of Design on “Sigma” (Variability) Manufacturing Device Circuit Logic / function System / SW Use variability model  Goal  Quantify “how many sigmas” can design “reduce”  Approach  Inventory of design techniques / tools  Match inventory to parameters or correlations in model  Use variability model to capture “delta” in sigmas Inputs (manufacturing) Check overall variation

8 ITRS Design ITWG 2009 8 More Than Moore (Design)  More than Moore brings new set of requirements/solutions –Will create additional inventory of parameters Existing requirementsExisting solutions Additional requirementsAdditional solutions E.g. –System-level (packaging) –Circuit (inter-chip parasitics modeling/simulation) –Layout (SiP global layout) –DFM (package-chip, SiP DFM) Existing Additional

9 ITRS Design ITWG 2009 9 Design and System Drivers 2009 Worldwide Design ITWG Key actions / messages: 1.Design update to ORTCs: SRAM, logic, defect density models 2. Updated key system drivers: SOC-Consumer Portable, MPU 3. Frequency-power envelope remains critical for industry 4. Updated System Drivers, no new drivers 5.Expanded cross-TWG and public activity (DAC ’09 workshop)

10 ITRS Design ITWG 2009 10 Overview (2004-9) 1. Increasingly quantitative roadmap 2. Increasingly complete driver set 2004 2005 2006 2007 Explore Design metrics Design Technology metrics Revised Design metrics Revised Design Technology Metrics Consumer Portable Driver Consumer Stationary, Portable Drivers Consumer Stationary, Portable, Networking Drivers More Than Moore analysis + iNEMI Driver study System Drivers Chapter Design Chapter 2008 Revised Design Metrics DFM extension Updated Consumer Stationary, Portable, and Networking Drivers More Than Moore extension + iNEMI + SW !! 2009 Additional Design Metrics DFM Extension System level extension Updated Consumer Stationary, Portable architecture, and Networking Drivers More Than Moore extension + iNEMI synch + SW !!

11 ITRS Design ITWG 2009 11 ORTCs: New A-Factor Model s ( Area = A-factor  F 2 )  Logic: A-factor = 175 NAND2 Area = 3 P Poly  8 P M2  (3  1.5 P M1 )  (8  1.25 P M1 ) = 45 (P M1 ) 2 = 180 F 2  175 F 2  SRAM: A-factor = 60 SRAM Bitcell Area = 2 P Poly  5 P M1 = 3 P M1  5 P M1 = 15 (P M1 ) 2 = 15 (2 F) 2 = 60 F 2 NWell Contact Active M1 Poly Contacted-poly pitch (P Poly  1.5P M1 ) M2 pitch (P M2  1.25P M1 ) Fitted to industry data Contacted-poly pitch (P Poly  1.5P M1 ) M1 pitch (P M1 )

12 ITRS Design ITWG 2009 12 Key System Drivers Constantly Updated Consumer Driver Model  2008: Updated power model with realistic dynamic power –Memory dynamic power 10X less than modeled previously  Will identify key driver requirements, explore coloring –E.g., excessive power beyond portable limit (1 W  0.5W)  Exploring RF/A/MS for future portable consumer drivers –Extends existing driver (or, future “wireless” driver is possible)  Exploring additional parameters per Test requests –#clocks, #power domains, #unique cores, #IOs, etc. 8 W max total (2022) 4.3 W max total (2022)

13 ITRS Design ITWG 2009 13 SOC Consumer Portable Architecture Model (updated) Main Memory PE-1 Peripherals PE-2PE-n … Main Prc. Main Prc. Main Prc. Main Prc. Function A Function B Function C Function D Function E Main Memory PE Main Prc. PE Peripherals Main Prc. Main Prc. Main Prc. - #Main Processors grows to 2, 4 and beyond - Power budget reduced to 0.5W - Die size reduces slowly to 44mm 2

14 ITRS Design ITWG 2009 14 Updated MPU Density/Power/Frequency Physical L gate (L) M1 Half-Pitch (F) Decrease P dyn and P leak Increase P dyn, decrease P leak A-Factor (A) Logic: ~320 (WAS)  175 (IS) SRAM: ~100 (WAS)  60 (IS) Increased P dyn and P leak #core/die, #tr/core 12.2% / year (WAS)  18.9% / year (~2013, IS),  12.2% / year (2014~, IS) Unit cell size Growth of #Tr 2x / 3 year (WAS)  2x / 2 year (IS) up to 2013 Die size reduction 310mm 2 (WAS)  260mm 2 (IS)

15 ITRS Design ITWG 2009 15 Design Pacing, Challenges Unabated  2009: Final Lgate and M1 HP scaling impact on Drivers Updated MPU model (power) Physical L gate M1 Half Pitch 1 year shift 2 year delay, but faster scaling 0.7x / 3yr  0.7 / 2yr (~2013), 0.7x / 3yr (2014~) #Tr per die New A-factors Faster M1 half pitch reduction

16 ITRS Design ITWG 2009 16 Frequency-Power Envelope Remains Critical System Issue  Current priorities  Power #1 goal  Frequency slowdown  Multi-core enables tradeoff  Need to track trade-off  Market vigilance  Yearly adjustment  Possible 2009 survey 7.7% / year ~2013: 18.9% / year 2014~: 12.2% / year

17 ITRS Design ITWG 2009 17 9:15am - 10:00am Plenary The ITRS Semiconductor Industry Roadmap --- Alan K. Allan (Intel) 10:00am - noon Session I: EDA Roadmaps and Perspectives The CATRENE (Europe) EDA Roadmap --- W. Rosenstiel (U. Tubingen) The STRJ/WG1 (Japan) EDA Roadmap --- (STRJ representative) The ITRS Design / System Drivers Roadmap – Carballo/Kahng (ITRS) Synopsys Roadmap Perspective --- A. Domic (Synopsys) Cadence Roadmap Perspective --- D. Noice (Cadence) Mentor Roadmap Perspective --- R. Hum (Mentor) Design Technology Coalition Perspective --- J. Darringer (IBM) SI2 Perspective --- S. Dasgupta (SI2) Mini-Panel Discussion: "Can We Roadmap EDA? For whom?” Noon - 1:30pm: Creating the Right EDA Industry Roadmap DAC-2009 Roadmapping Workshop San Francisco, July 27, 2009 9am – 3pm 35 Attendees

18 ITRS Design ITWG 2009 18 Design and System Drivers 2010 Worldwide Design ITWG Key actions / messages: 1.Update key system drivers: SOC-Consumer Portable, MPU 2.Update frequency-power MPU roadmap 3.Continue to broaden System Drivers, but more cautiously 4.Develop new “MtM” System Driver parameters, “SIP fabric” 5.Continue cross-TWG and public activity (DAC2010 workshop) 6.Maintain iNEMI relationship/linkage around portable driver

19 ITRS Design ITWG 2009 19 A&D Network Consumer Portable Office Medical Automotive Consumer Stationary MPU PE/DSP AMS Memory Fabrics Markets 200620072006 2010? SIP New System Drivers? At the right pace… New SIP Fabric driver effort started in 2009 Others (aerospace & defense, medical, auto, FPGA) deferred 2010?

20 ITRS Design ITWG 2009 20 More Than Moore Brings Alternative Set of Parameters (2010-)  Will create additional inventory of parameters System Drivers Consumer portable (SoC)Consumer portable (SiP) Power Normalized Cost System Drivers Consumer stationary (SoC)Consumer stationary (SiP) Performance Normalized Cost CONCEPT: Current SoC scenariovs. Additional SiP scenario

21 ITRS Design ITWG 2009 21 System Drivers and iNEMI (2009) Proposal to iNEMI: develop Portable System Architecture Template New Chair with domain expertise – expect deeper commitment Application processor Baseband processor Memory NAND Flash Memory Wireless Flash Audio / video codec Power mgt. I/O controller I/O transceivers Other (MEMS, etc.) Processing POWER Memory / Flash COST Analog / I/O NOISE SENSITIVITY

22 ITRS Design ITWG 2009 22 Design & Key ITRS Cross-TWG Initiatives  With Interconnect (A&P): 3D / TSV roadmapping survey  With PIDS, FEP, IRC: Power-driven roadmap; modeling and requirements support for CV/I  RO-based transistor metric  With CSTNSG: Updated frequency, SRAM area, active area (yield) projects  With More Than Moore Study Group: Definition of SIP-scenario System Driver roadmaps to complement existing SOC-scenario Driver roadmaps

23 ITRS Design ITWG 2009 23 1.Update key system drivers: SOC-Consumer Portable, MPU 2.Update frequency-power MPU roadmap 3.Continue to broaden System Drivers, but more cautiously 4.Develop new “MtM” System Driver parameters, “SIP fabric” 5.Continue cross-TWG and public activity (DAC2010 workshop) 6.Maintain iNEMI relationship/linkage around portable driver Summary 1.Continue expanding importance of SW and system level 2.Quantify design tech. impact on variability / “sigma” control 3. Update “design cost control through innovation” chart 4. Develop design technology roadmap for 3D / TSV 5. Develop new set of Design requirements/solutions from MtM 6. Complete reliability roadmap for 2011 Design Actions 2010 System Drivers Actions 2010


Download ppt "ITRS Design ITWG 2009 1 Design and System Drivers - 2009 Worldwide Design ITWG Key actions / messages: 1.Software, system level design productivity critical."

Similar presentations


Ads by Google