ITRS Design ITWG 2012 1 ITRS Design + System Drivers July 9-10, 2012 Design ITWG Masaru Kakimoto (Japan) Juan-Antonio Carballo (USA) Gary Smith (USA) David.

Slides:



Advertisements
Similar presentations
10 December 2012 Clive Max Maxfield All Programmable FPGAs, SoCs, and 3D ICs Part V. Advanced Concepts and Future Trends 1.
Advertisements

All Programmable FPGAs, SoCs, and 3D ICs
Copyright © 2006 by The McGraw-Hill Companies, Inc. All rights reserved. McGraw-Hill Technology Education Copyright © 2006 by The McGraw-Hill Companies,
1 Chapter 40 - Physiology and Pathophysiology of Diuretic Action Copyright © 2013 Elsevier Inc. All rights reserved.
18 July 2001 Work In Progress – Not for Publication 2001 ITRS Test Chapter ITRS Test ITWG Mike Rodgers Don Edenfeld.
RF and AMS Technologies for Wireless Communications Working Group International Technology Roadmap for Semiconductors Radio Frequency and Analog/Mixed-Signal.
More than Moore ITRS Summer Meeting 2008 July 14, 2008 San Francisco, CA.
2005 ITRS Work in Progress – Do Not Publish 1 International Technology Roadmap for Semiconductors 2005 ITRS/ORTC Product Model Proposals For Public 07/13/05.
Test TWG Spring Workshop - Koenigswinter, Germany Test TWG Spring ITRS Workshop Attendees: Mike Rodgers Prasad Mantri Roger Barth Wataru Uchida.
ITRS Design ITWG ITRS Design + System Drivers Hsinchu, December 2006 Design ITWG Japan: Hiwatashi-san, Asada-san Taiwan: Chung-Ping Chen Europe:
1 ERD 2012 ITRS Spring Conference – Noordwijk, the Netherlands – Apr. 24, 2012 ITRS Public Conference Emerging Research Devices 2012 ERD Chapter Victor.
International Technology Roadmap for Semiconductors
International Technology Roadmap for Semiconductors
1 PIDS 7/1/01 18 July 2001 Work In Progress – Not for Publication P. Zeitzoff Contributors: J. Hutchby, P. Fang, G. Bourianoff, J. Chung, Y. Hokari, J.
International Technology Roadmap for Semiconductors
Summer Public Conference ORTC 2010 Update Messages
Winter Public Conference ORTC 2010 Update
July 13, 2010ITRS public conference – San Francisco1 More-than-Moore Roadmapping Update.
International Technology Roadmap for Semiconductors
Design + System Drivers Update Design ITWG ITRS Public Conference Hsinchu, 5 Dec 2012 Good morning. Here we present the work that the ITRS Design TWG.
July 12, 2012ITRS public conference – San Francisco1 More-than-Moore Roadmapping Update.
Design and System Drivers Worldwide Design ITWG: T
ITRS Design ITWG Design and System Drivers Worldwide Design ITWG Key messages: 1.- Software is now part of semiconductor technology roadmap 2.-
International Technology Roadmap for Semiconductors
Figure DESN1 Impact of Design Technology on SOC Consumer Portable Implementation Cost Software Virtual Prototype Intelligent Testbench Reusable Platform.
ITRS Design ITWG ITRS Design + System Drivers December 3, 2010 Design ITWG 1.Software, system level design productivity critical to roadmap 2. Manufacturability.
ITRS Roadmap Design + System Drivers Makuhari, December 2007 Worldwide Design ITWG Good morning. Here we present the work that the ITRS Design TWG has.
ITRS Design + System Drivers July, 2010 Design ITWG Juan-Antonio Carballo Tamotsu Hiwatashi William Joyner Andrew Kahng Noel Menezes Shireesh Verma.
Work in progress – do not publish RF&A/MS 1-page update Analog - carrier Frequency bands LF Analog (0-0.4GHz)RF (0.4-30GHz)mm-wave (30-300GHz)THz (>300GHz)
Overall Roadmap Technology Characteristics (ORTC) 2012
Overall Roadmap Technology Characteristics (ORTC) 2012
ITRS Winter Conference 2006 The Ambassador Hotel Hsin Chu Taiwan 1 International Technology Roadmap for Semiconductors Assembly and Packaging 2006.
Deep Packet Inspection Which Implementation Platform? Sarang Dharmapurikar Cisco.
0 - 0.
L.N. Bhuyan Adapted from Patterson’s slides
Homework Reading Machine Projects Labs
Design and Use of Memory-Specific Test Structures to Ensure SRAM Yield and Manufacturability F. Duan, R. Castagnetti, R. Venkatraman, O. Kobozeva and S.
Javier Lira (UPC, Spain)Carlos Molina (URV, Spain) David Brooks (Harvard, USA)Antonio González (Intel-UPC,
Radical Innovation and Lateral Thinking: the role of silicon technologies BRUNO MURARI MILANO 19/11/09.
An International Technology Roadmap for Semiconductors
Multi-core processors. 2 Processor development till 2004 Out-of-order Instruction scheduling Out-of-order Instruction scheduling.
Basic Computer Fundamentals Lecture4 Prepared by Jalal
2  Industry trends and challenges  Windows Server 2012: Beyond virtualization  Complete virtualization platform  Improved scalability and performance.
ITRS Design ITWG Design and System Drivers Worldwide Design ITWG Key actions / messages: 1.Software, system level design productivity critical.
1 Unit 1 Kinematics Chapter 1 Day
Kwangok Jeong and Andrew B. Kahng UCSD VLSI CAD Laboratory
Some Thoughts on Technology and Strategies for Petaflops.
Chapter 1. Introduction This course is all about how computers work But what do we mean by a computer? –Different types: desktop, servers, embedded devices.
ITRS-2001 Design ITWG Plan December 6, 2000 Bill Joyner, SRC/IBM.
EE141 © Digital Integrated Circuits 2nd Introduction 1 The First Computer.
ERD and Memory Architectures Paul Franzon Department of Electrical and Computer Engineering
1 VLSI and Computer Architecture Trends ECE 25 Fall 2012.
LOGO Multi-core Architecture GV: Nguyễn Tiến Dũng Sinh viên: Ngô Quang Thìn Nguyễn Trung Thành Trần Hoàng Điệp Lớp: KSTN-ĐTVT-K52.
1 ICCD-2014, The ITRS MPU and SOC System Drivers: Calibration and Implications for Design-Based Equivalent Scaling in the Roadmap Wei-Ting Jonas.
Multi-Core Architectures
ITRS 2000 Update Work In Progress - Do Not Publish! 1 ITRS/ORTC Table Update Technology Node, DRAM Chip Size, and Logic Chip Size Update, Based on the.
1 Latest Generations of Multi Core Processors
Computer Organization & Assembly Language © by DR. M. Amer.
Present – Past -- Future
ITRS-2001 Joint Meeting Design ITWG / USA Design TWG February 4, 2001 SF Marriott, Pacific G, 4pm-10pm PST Dial-in: , Participant Code
Transistor Counts 1,000, ,000 10,000 1, i386 i486 Pentium ® Pentium ® Pro K 1 Billion Transistors.
EE141 © Digital Integrated Circuits 2nd Introduction 1 Principle of CMOS VLSI Design Introduction Adapted from Digital Integrated, Copyright 2003 Prentice.
ITRS 2000 Update Work In Progress - Do Not Publish! 1 ITRS/ Design TWG Update 2000 System on Chip, Design Productivity, Low Power, Deep Submicron Design.
Memory The term memory is referred to computer’s main memory, or RAM (Random Access Memory). RAM is the location where data and programs are stored (temporarily),
ITRS 2001 Renewal Work In Progress - Do Not Publish!
Heterogeneous Processing KYLE ADAMSKI. Overview What is heterogeneous processing? Why it is necessary Issues with heterogeneity CPU’s vs. GPU’s Heterogeneous.
SEMICONDUCTOR TECHNOLOGY -CMOS-
Transistors on lead microprocessors double every 2 years Moore’s Law in Microprocessors Transistors on lead microprocessors double every 2 years.
ITRS Design.
Chapter 1 Introduction.
Presentation transcript:

ITRS Design ITWG ITRS Design + System Drivers July 9-10, 2012 Design ITWG Masaru Kakimoto (Japan) Juan-Antonio Carballo (USA) Gary Smith (USA) David Yeh (USA) Andrew Kahng (USA)

ITRS Design ITWG Design and System Drivers – Messages 1.Design technology continues to add low power roadmap techniques 2.Design technology, still unclear how new devices affected it (FinFET) 3.Design technology for 3D continues to spread across chapter 4.Design technology for resilience a fundamental portion of DFM 5.Non-Moore fabrics will require increasingly specialized DT 6.Memory an increasingly important factor for design technology 7.Push to integrate AMS/RF on SoC/SiP despite positive 3D prospects 8.Soaring applications may overhaul driver list: DTV, microservers…

ITRS Design ITWG Highlights of Plans 1.Design Chapter Review/next ver of Power-Aware DT roadmap ( ) - DONE Special DT for non-Moore fabrics (SW, AMS/RF, MEMS) (2013) - PENDING Updates on LCP, DFT, Design Verification; v2 of 3D section (2013) - PENDING Additional content on (design for) resilience, memory (2012) - PENDING 2012 (September) – need to have updated tables (ext by 1 yr) (2012) - PENDING 2.System Drivers Chapter Revisit the AMS/RF sub-driver of Consumer SOC driver (2013) - PENDING Overhaul SOC-CP & CS (TV) models, Embedded Memory (2013) - PENDING Overhaul Driver list ? Is SOC-CS really a driver? Who is ? (2012) - PENDING What's the next driver ? Automotive, Medical, Energy. Update MPU model (&frequency). What about microservers ? (2012) - PENDING 3.Cross-TWG CTSG: node timing, additional A-factor updates (2012) - PENDING How will FinFET, UTBB SOI timing change PPA projections? (2012) - PENDING Renewal of PIDS roadmaps (compact modeling interaction) ( ) - PENDING 3D effort with the other TWGs ( ) - PENDING

ITRS Design ITWG Highlights of Plans 1.Design Chapter Review/next ver of Power-Aware DT roadmap ( ) - DONE Special DT for non-Moore fabrics (SW, AMS/RF, MEMS) (2013) - PENDING Updates on LCP, DFT, Design Verification; v2 of 3D section (2013) - PENDING Additional content on (design for) resilience, memory (2012) - PENDING 2012 (September) – need to have updated tables (ext by 1 yr) (2012) - PENDING 2.System Drivers Chapter Revisit the AMS/RF sub-driver of Consumer SOC driver (2013) - PENDING Overhaul SOC-CP & CS (TV) models, Embedded Memory (2013) - PENDING Overhaul Driver list ? Is SOC-CS really a driver? Who is ? (2012) - PENDING What's the next driver ? Automotive, Medical, Energy. Update MPU model (&frequency). What about microservers ? (2012) - PENDING 3.Cross-TWG CTSG: node timing, additional A-factor updates ( ) - DONE How will FinFET, UTBB SOI timing change PPA projections? ( ) - DONE Renewal of PIDS roadmaps (interaction on compact modeling) ( ) - DONE 3D effort with the other TWGs ( ) - PENDING

ITRS Design ITWG Design Cost Chart

ITRS Design ITWG Power Design Technology Roadmap

ITRS Design ITWG Power Design Technology Roadmap NEW: approximate computing, dark Silicon, extreme heterogeneity

ITRS Design ITWG New Power Design Technology 1.Approximate computing Variable-accuracy computing (e.g., flexibly going from 64b to 16b) 4D computing: reconfiguration on the fly AVS ? (e.g., part of DVFS). Margin reduction? 2.Dark Silicon normally-off computing = extreme power gating 3.Extreme heterogeneity coprocessor-dominated architectures (pervasive heterogeneity; energy- efficiency from specialization; HW accelerators) 10 x 10, 13 dwarves, … Cf. Intel accelerators for MPU vs. Tensilica (or, GPUs, xPUs) NOTES Not every product can use all the techniques Asynchronous could be too late HW Virtualization and Superscalar factors need to be examined

ITRS Design ITWG Highlights of Plans 1.Design Chapter Review/next ver of Power-Aware DT roadmap ( ) - DONE Special DT for non-Moore fabrics (SW, AMS/RF, MEMS) (2013) - PENDING Updates on LCP, DFT, Design Verification; v2 of 3D section (2013) - PENDING Additional content on (design for) resilience, memory (2012) - PENDING 2012 (September) – need to have updated tables (ext by 1 yr) (2012) - PENDING 2.System Drivers Chapter Revisit the AMS/RF sub-driver of Consumer SOC driver (2013) - PENDING Overhaul SOC-CP & CS (TV) models, Embedded Memory (2013) - PENDING Overhaul Driver list ? Is SOC-CS really a driver? Who is ? (2012) - PENDING What's the next driver ? Automotive, Medical, Energy. Update MPU model (&frequency). What about microservers ? (2012) - PENDING 3.Cross-TWG CTSG: node timing, additional A-factor updates ( ) - DONE How will FinFET, UTBB SOI timing change PPA projections? ( ) - DONE Renewal of PIDS roadmaps (interaction on compact modeling) ( ) - DONE 3D effort with the other TWGs ( ) - PENDING

ITRS Design ITWG Memory as a Key Factor in Future DT Figure DESN12 Possible Variability Abstraction Levels Physical Device Gate Chip Bit Cell Circuit Array

ITRS Design ITWG Memory as a Key Factor in Future DT Figure DESN8 Variability-Induced Failure Rates for Three Canonical Circuit Types

ITRS Design ITWG Highlights of Plans 1.Design Chapter Review/next ver of Power-Aware DT roadmap ( ) - DONE Special DT for non-Moore fabrics (SW, AMS/RF, MEMS) (2013) - PENDING Updates on LCP, DFT, Design Verification; v2 of 3D section (2013) - PENDING Additional content on (design for) resilience, memory (2012) - PENDING 2012 (September) – need to have updated tables (ext by 1 yr) (2012) - PENDING 2.System Drivers Chapter Revisit the AMS/RF sub-driver of Consumer SOC driver (2013) - PENDING Overhaul SOC-CP & CS (TV) models, Embedded Memory (2013) - PENDING Overhaul Driver list ? Is SOC-CS really a driver? Who is ? (2012) - PENDING What's the next driver ? Automotive, Medical, Energy. Update MPU model (&frequency). What about microservers ? (2012) - PENDING 3.Cross-TWG CTSG: node timing, additional A-factor updates (2012) - PENDING How will FinFET, UTBB SOI timing change PPA projections? (2012) - PENDING Renewal of PIDS roadmaps (interaction compact modeling) ( ) - PENDING 3D effort with the other TWGs ( ) - PENDING

ITRS Design ITWG MTM – AMS/RF Subdriver Several emphases in DT, DFT: System verification, Hetero systems Plan: paste high-level block model from AMS/RF -- core model –Hope to obtain model from additional groups, market analysis –E.G. WiFi/GPS/cellular/BT/NFC front-end blocks, tuner/demodulator blocks

ITRS Design ITWG Generating Mixed-Fabric Drivers Primitive models (Digital) Functional blocks (digital) SoC / SiP Drivers (SoC-CP) Device models (PIDS) Technology models (A&P) Technology models (Interconnect) Device models (ERD) Primitive models (Other) Primitive models (AMS/RF) Functional blocks (non- Moore) SoC / SiP Drivers (SoC-CS) GAP …

ITRS Design ITWG What Drivers? 1.SOC-Consumer Portable (CP) Driver What will be future driving applications ? What Geos would drive them moving forward ? US ? Are phone and tablet similar enough for SOC-CP projection? 2.SOC-Consumer Stationary (CS) driver Is it still a driver ? (orginially abstracted from Cell) Smart TV processor ? Kinect ? Is Signal processing on mobile similar to stationary ?

ITRS Design ITWG Proposed changes to MPU Model ItemCurrent (2011) model Proposed model Die area140mm 2 (CP), 260mm 2 (HP) Area ratioCore :: 1Core : LLC : UnCore :: 1: 1: 1 LLCNA12MB (2011) + 1.4x every tech node [Borkar10, Borkar07] UnCoreNAUncore Scaling SRAM A-factor (U SRAM ) 60F 2 (6T), 84F 2 (8T) (bulk) 60F 2 (6T), 84F 2 (8T) (bulk, FinFET) 40F 2 (6T), 56F 2 (8T) (high-density FinFET) *** * CP – Cost-Performance; HP – High Performance ** L2$ and L1$ is per core

ITRS Design ITWG Uncore (increasing portion of MPU) consists of: –Memory controller(s) –Graphics and display controller(s) –I/O and bus interface controller(s) Updated MPU Model: UnCore Scaling ItemProposed model Memory controllerN/2 (CP), N (HP); N = # cores [Borkar07, Borkar11, 80-core, IVB] Graphics and Display controller2x every tech node [NHM, SNB, NVIDIA] I/O and bus interface controllerN/6 [SNB, IVB] Logic (# transistors) growthSame as core Logic densitySame as core SRAM (# bitcells) growth512MB * # GPU-Cores [IVB, NVIDIA] SRAM densitySame as core

ITRS Design ITWG SoC / MPU Potential Driver Convergence ? Ongoing product roadmap and More-Than-Moore impact analysis (WIP) Recent SoC clock and #cores frequency scaling trends May need to re-examine existing MPU and/or create new driver 1.Clock frequency growing at 1.5X every 2 years. 2.Number of cores growing at 2X every 4 years. 3.Networking-like SoC scaling: off-chip latency, accelerators, L3 cache 4.Power limitation under 4W per core (HPC example). 5.Off-chip speed can be as high as 204 Gbits / sec. 6.Mobile Computing SoCs increasingly competing in server space Beginning to be used in data centers and cloud computing Extreme core efficiency (active power <4W, sleep power< 0.5W) Cores and frequency scaling similar to conventional MPUs

ITRS Design ITWG Special DT for non-Moore fabrics SW, AMS/RF, MEMS, 3D / novel packaging ? Current design technology still insufficient Design technology will continue to broaden What design technology is needed beyond current ideas ? New 3D / TSV design flows New multi-physics modeling, simulation, analysis tools Example: thermal / mechanical analysis (base station) Example: MEMS + electrical analysis (mobile gaming) Example: sensors + signal processing (industrial, medical) Example: software + HW simulation (data center network)

ITRS Design ITWG Device Model / PIDS interaction Agreed to only one low power device in the roadmap Removed LOP device flavor from 3 to 2 devices Still questioning how much CD variation can be tolerated Should Design content change as we move toward 450 mm ? Should Design care about node definitions ? (foundry names vs. ITRS)

ITRS Design ITWG Design and System Drivers – Messages 1.Design technology continues to add low power roadmap techniques 2.Design technology, still unclear how new devices affected it (FinFET) 3.Design technology for 3D continues to spread across chapter 4.Design technology for resilience a fundamental portion of DFM 5.Non-Moore fabrics will require increasingly specialized DT 6.Memory an increasingly important factor for design technology 7.Push to integrate AMS/RF on SoC/SiP despite positive 3D prospects 8.Soaring applications may overhaul driver list: DTV, microservers…