Precision Bonders - A Game Changer for Monolithic 3D

Slides:



Advertisements
Similar presentations
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
Advertisements

Assembly and Packaging TWG
Embedded Systems Design: A Unified Hardware/Software Introduction 1 Chapter 10: IC Technology.
FPGA (Field Programmable Gate Array)
An International Technology Roadmap for Semiconductors
MonolithIC 3D  Inc. Patents Pending 1 THE MONOLITHIC 3D-IC DISRUPTOR A DISRUPTOR TO THE SEMICONDUCTOR INDUSTRY.
MonolithIC 3D  Inc. Patents Pending 1 Monolithic 3D-IC Re-Inventing Wafer Scale Integration The Cubic IC System ~ 100X Advantage.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT Flow 1 MonolithIC 3D Inc., Patents Pending.
ECE 6466 “IC Engineering” Dr. Wanda Wosik
Monolithic 3D DRAM Technology
MonolithIC 3D  Inc. Patents Pending 1 THE MONOLITHIC 3D-IC: Logic + eDRAM on top.
SOI BiCMOS  an Emerging Mixed-Signal Technology Platform
Monolithic 3D Integrated Circuits
MonolithIC 3D  Inc. Patents Pending 1 The Monolithic 3D-IC A Disruptor to the Semiconductor Industry.
MICROELETTRONICA Design methodologies Lection 8. Design methodologies (general) Three domains –Behavior –Structural –physic Three levels inside –Architectural.
Performance Analysis and Technology of 3D ICs
For the exclusive use of adopters of the book Introduction to Microelectronic Fabrication, Second Edition by Richard C. Jaeger. ISBN © 2002.
Temperature-Aware Design Presented by Mehul Shah 4/29/04.
A DISRUPTOR TO THE SEMICONDUCTOR INDUSTRY
MEMs Fabrication Alek Mintz 22 April 2015 Abstract
“Atoms Don’t Scale”=> What is Beyond 7nm (2019)
Optional Reading: Pierret 4; Hu 3
Technology For Realizing 3D Integration By-Dipyaman Modak.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs February MonolithIC 3D Inc., Patents Pending.
Application of through-silicon-via (TSV) technology to making of high-resolution CMOS image sensors Name: Qian YU Student ID:
Lecture 2: Field Programmable Gate Arrays September 13, 2004 ECE 697F Reconfigurable Computing Lecture 2 Field Programmable Gate Arrays.
MonolithIC 3D  Inc. Patents Pending 1 Monolithic 3D – The Most Effective Path for Future IC Scaling.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs RCAT approach 1 MonolithIC 3D Inc., Patents Pending.
Presentation for Advanced VLSI Course presented by:Shahab adin Rahmanian Instructor:Dr S. M.Fakhraie Major reference: 3D Interconnection and Packaging:
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
The Short, Medium and Long-Term Path to the 3D Ecosystem
Avogadro-Scale Engineering: Form and Function MIT, November 18, Three Dimensional Integrated Circuits C.S. Tan, A. Fan, K.N. Chen, S. Das, N.
MOHD YASIR M.Tech. I Semester Electronics Engg. Deptt. ZHCET, AMU.
CAD for Physical Design of VLSI Circuits
EGRE 427 Advanced Digital Design Figures from Application-Specific Integrated Circuits, Michael John Sebastian Smith, Addison Wesley, 1997 Chapter 4 Programmable.
Comparison of various TSV technology
Silicon – On - Insulator (SOI). SOI is a very attractive technology for large volume integrated circuit production and is particularly good for low –
© International Rectifier DirectFET  MOSFETs Double Current Density In High Current DC-DC Converters With Double Sided Cooling.
Text Book: Silicon VLSI Technology Fundamentals, Practice and Modeling Authors: J. D. Plummer, M. D. Deal, and P. B. Griffin Class: ECE 6466 “IC Engineering”
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs October MonolithIC 3D Inc., Patents Pending.
Foundry Characteristics
MIT Lincoln Laboratory NU Status-1 JAB 11/20/2015 Advanced Photodiode Development 7 April, 2000 James A. Burns ll.mit.edu.
SEMINAR PRESENTATION ON IC FABRICATION PROCESS PREPARED BY: GUIDED BY: VAIBHAV RAJPUT(12BEC102) Dr. USHA MEHTA SOURABH JAIN(12BEC098)
1 FNAL Pixel R&D Status R. Lipton Brief overview due to 3 failed MS Powerpoint versions –3D electronics New technologies for vertical integration of electronics.
Spencer/Ghausi, Introduction to Electronic Circuit Design, 1e, ©2003, Pearson Education, Inc. Chapter 3, slide 1 Introduction to Electronic Circuit Design.
CMOS Fabrication nMOS pMOS.
NMOS FABRICATION 1. Processing is carried out on a thin wafer cut from a single crystal of silicon of high purity into which the required p-impurities.
MonolithIC 3D Inc., Patents Pending MonolithIC 3D ICs November MonolithIC 3D Inc., Patents Pending.
©2008 R. Gupta, UCSD COSMOS Summer 2008 Chips and Chip Making Rajesh K. Gupta Computer Science and Engineering University of California, San Diego.
FPGA-Based System Design: Chapter 1 Copyright  2004 Prentice Hall PTR Moore’s Law n Gordon Moore: co-founder of Intel. n Predicted that number of transistors.
Trends in IC technology and design J. Christiansen CERN - EP/MIC
Federico Faccio CERN/PH-MIC
MonolithIC 3D  Inc. Patents Pending 1 Precision Bonders - A Game Changer for Monolithic 3D DISRUPTOR A DISRUPTOR TO THE SEMICONDUCTOR INDUSTRY Paper 11.3.
Tezzaron Semiconductor 03/18/101 Advances in 3D Bob Patti, CTO
Low Mass, Radiation Hard Vertex Detectors R. Lipton, Fermilab Future experiments will require pixelated vertex detectors with radiation hardness superior.
Guided by: Prof.J.D.PRADHAN Submitted By: K.Anurag Regn no:
TECHNOLOGY TRENDS.
MonolithIC 3D  Inc. Patents Pending 1 Monolithic 3D-ICs with Single Crystal Silicon Layers Deepak C. Sekar and Zvi Or-Bach MonolithIC 3D Inc IEEE.
Chapter 10: IC Technology
Overview of VLSI 魏凱城 彰化師範大學資工系.
Lecture #25 OUTLINE Device isolation methods Electrical contacts to Si
An Automated Design Flow for 3D Microarchitecture Evaluation
Chapter 10: IC Technology
Chapter 10: IC Technology
Unit -4 Introduction to Embedded Systems Tuesday.
CSE 87 Fall 2007 Chips and Chip Making
Presentation transcript:

Precision Bonders - A Game Changer for Monolithic 3D A DISRUPTOR TO THE SEMICONDUCTOR INDUSTRY Precision Bonders - A Game Changer for Monolithic 3D Zvi Or-Bach, Brian Cronquist, Zeev Wurman, Israel Beinglass, and Albert Henning MonolithIC 3D Inc. Paper 11.3 IEEE S3S October 2014 MonolithIC 3D Inc. Patents Pending 1

Agenda Motivation – The Escalating Challenges of 2D Scaling Monolithic 3D as the Solution Emerging Precision Bonders Impact and a Process Flow Advantages of Monolithic 3DIC

MonolithIC 3D Inc. Patents Pending Connectivity Consumes 70-80% of Total Power @ 22nm Repeaters Consume Exponentially More Power and Area At 22nm, on-chip connectivity consumes 70-80% of total power Repeater count increases exponentially At 45nm, repeaters are > 50% of total leakage MonolithIC 3D Inc. Patents Pending Source: IBM POWER processors R. Puri, et al., SRC Interconnect Forum, 2006

“CEA-Leti Signs Agreement with Qualcomm to Assess Sequential (monolithic)3D Technology” Business Wire December 08, 2013 “Monolithic 3D (M3D) is an emerging integration technology poised to reduce the gap significantly between transistors and interconnect delays to extend the semiconductor roadmap way beyond the 2D scaling trajectory predicted by Moore’s Law.” Geoffrey Yeap, VP of Technology at Qualcomm, Invited paper, IEDM 2013

MONOLITHIC 10,000x the Vertical Connectivity of TSV 8

The Monolithic 3D Challenge Why is it not already in wide use? Processing on top of copper interconnects should not make the copper interconnect exceed 400oC How to bring mono-crystallized silicon on top at less than 400oC How to fabricate state-of-the-art transistors on top of copper interconnect and keep the interconnect below at less than 400oC Misalignment of pre-processed wafer to wafer bonding step is was ~1µm How to achieve 100nm or better connection pitch How to fabricate thin enough layer for inter-layer vias of ~50nm 9

MonolithIC 3D - Precision Bonder Flow RCAT (2009) – Process the high temperature on generic structures prior to ‘smart-cut’, and finish with cold processes – Etch & Depositions Gate Replacement (2010) (=Gate Last, HKMG) - Process the high temperature on repeating structures prior to ‘smart-cut’, and finish with ‘gate replacement’, cold processes – Etch & Depositions Laser Annealing (2012) – Use short laser pulse to locally heat and anneal the top layer while protecting the interconnection layers below from the top heat Precise Bonder (2014) – Use precision bonder and prior techniques such as ‘gate replacement’. Offers low cost flow with minimal R&D

Precision Bonder – Breakthrough With MonolthIC 3D flow => Easy path to M3D Alignment challenge is resolved by the use of Precision Bonder and ‘Smart Alignment’ Achieving 10,000x vertical connectivity as the upper strata will be thinner than 100 nm Rich vertical connectivity High performance – low vertical connection RC Low manufacturing costs Utilizing the existing front-end process !!! Patents Pending

Use standard flow to process “Stratum 3” Poly Oxide Stratum 3 NMOS PMOS STI ~700 µm Donor Wafer Silicon Patents Pending 12

Implant H+ 100nm depth for the ion-cut Ions Implant ~E17 NMOS PMOS STI ~100nm H+ ~700µm Donor Wafer Silicon Patents Pending 13

Bond to a carrier-wafer ~700µm Carrier Wafer Oxide to Oxide bond STI H+ ~700µm Donor Wafer Silicon Patents Pending 14

‘Cut’ (Heating to ~550 ºC) Donor Wafer off ~700µm Carrier Wafer Transferred ~100nm Layer - Stratum 3 STI Silicon H+ ~700µm Donor Wafer Silicon Patents Pending 15

CMP and repair the transferred layer – high temperature is OK ! Silicon STI ~100nm Oxide Bond Oxide ’etch stop’ ~700µm Carrier Wafer Patents Pending 16

Use standard flow to process “Stratum 2” Note: High Temperature is OK ~700µm Carrier Wafer ~100nm Layer Silicon Oxide Bond Oxide ’etch stop’ STI High Performance Transistors Stratum 2 Stratum 3 Note: A vertical isolation could be formed by reverse bias, deep implant or other methods. Patents Pending 17

Add at least one interconnect layer ~700µm Carrier Wafer ~100nm Transferred Layer Silicon Oxide Bond Oxide ’etch stop’ Stratum 2 Stratum 3 Patents Pending 18

Transfer onto target layer ~700µm Carrier Wafer Oxide-oxide bond Transferred Layer (Stratum 2 +Stratum 3) Base Wafer PMOS NMOS Patents Pending MonolithIC 3D Inc. Patents Pending 19

MonolithIC 3D Inc. Patents Pending Remove carrier-wafer (grind, etch) ~700µm Carrier Wafer Stratum 3 100 nm Stratum 2 Oxide-oxide bond Base Wafer NMOS PMOS Patents Pending MonolithIC 3D Inc. Patents Pending 20

Gate replacements (when applicable) Stratum 3 Stratum 2 Oxide-oxide bond Base Wafer NMOS PMOS MonolithIC 3D Inc. Patents Pending 21 Patents Pending

Monolithic 3D using Precise Bonder Utilizes existing transistor process Could help upgrade any fab (leading or trailing) Provides two additional transistor layers Very competitive cost structure Better power, performance, price than a node of scaling at a fraction of the costs !!! Allows functionality that could not be attained by 2D devices

Connect to “Strata 1” using ‘Smart-Alignment’ Landing pad Oxide Bottom layer layout Top layer layout Through-layer connection ‘Smart-Alignment’ 23 Patents Pending 23 23

Smart Alignment Through Layer Via connected by landing pad of 200x200 nm² 200nm 200nm

Smart Alignment

‘Smart-Alignment’ ~20X better vertical connectivity Landing pad Bottom layer layout Vertical connection 200nm/metal pitch ~ 20 for 200nmx200nm Vertical connection 1 for 200nmx200nm ~20X better vertical connectivity Minimum abstraction for routing 26 Patents Pending 26 26

Sequential vs. Parallel Some people call monolithic 3D as a ‘sequential process’ in contrast to TSV which is ‘parallel’ Sequential process might over-extend TAT ! By using the MonolithIC + Fusion Bonder flow, a parallel monolithic flow could be constructed Patents Pending

The Operational Thermal Challenge Upper tier transistors are fully surrounded by oxide and have no thermal path to remove operational heat away Good Heat Conduction ~100 W/mK Poor Heat Conduction ~1 W/mK

Stanford University, Rambus+, Monolithic 3D Inc.* IEDM 2012 Paper Cooling Three-Dimensional Integrated Circuits using Power Delivery Networks (PDNs) Hai Wei, Tony Wu, Deepak Sekar+, Brian Cronquist*, Roger Fabian Pease, Subhasish Mitra Stanford University, Rambus+, Monolithic 3D Inc.* 2929

Monolithic 3D Heat Removal Architecture (Achievable with Monolithic 3D vertical interconnect density) px Signal wire Heat sink py Global power grid shared among multiple device layers, local power grid for each device layer Local VDD grid architecture shown above Optimize all cells in library to have low thermal resistance to VDD/VSS lines (local heat sink) Without Power Grid With Power Grid Patents Pending

The Monolithic 3D Advantage <http://www.monolithic3d.com/3d-ic-edge1.html> 1. Reduction die size and power – doubling transistor count - Extending Moore’s law Monolithic 3D is far more than just an alternative to 0.7x scaling !!! 2. Significant advantages from using the same fab, design tools 3. Heterogeneous Integration 4. Multiple layers Processed Simultaneously - Huge cost reduction (Nx) Logic redundancy => 100x integration made possible 3D FPGA prototype, 2D volume 7. Enables Modular Design 8. Naturally upper layers are SOI 9. Local Interconnect above and below transistor layer 10. Re-Buffering global interconnect by upper strata 11. Others A. Image sensor with pixel electronics B. Micro-display

Some 3D Applications 1T SRAM (Zeno) over Logic Image sensor with pixel electronics 3D FPGA Ultra Scale integration using M3DI Redundancy 3D Memory with shared litho. I/O-SRAM-Logic 3D Based platform with application (/user) specific stratum

1T SRAM (Zeno) over FinFET

Image Sensor with Pixel Electronics With rich vertical connectivity, every pixel of an image sensor could have its own pixel electronics underneath Patents Pending

The Twin - Field-programmable & via-configurable fabric Prototype Phase-3D Production Phase-2D HV Programming Transistors Vp Anti-fuses Prototype volumes Prototype costs Std. logic w/mono-3D OTP till design & functions stabilized Specific foundry Production volumes Production costs Standard logic process 1-Mask customization Backup-foundry capable Patents Pending

FPGA Achilles’ Heel – PIC (Programmable Interconnect) >30x Area vs FPGA Achilles’ Heel – PIC (Programmable Interconnect) >30x Area vs. Antifuse/Masked Via SRAM FPGA connectivity elements @ 45 nm Via connectivity element @ 45 nm SRAM bit Via/AF Bidi buffer Area  4 m2 Ratio to AF  100 Via Pitch - 0.2 m .2 x .2= 0.04 m2 Area: 0.04 m2 4X .2m .2m 4X SRAM bit TS buffer Area  2 m2 Ratio to AF  50 4X Current FPGAs use primarily pass transistors with a driver. SRAM bit Pass gate Area  .5 m2 Ratio to AF  12 10X Average area ratio of connectivity element >30 36

Innovation Enabling ‘Wafer Scale Integration’ – 99.99% Yield with 3D Redundancy Gene Amdahl -“Wafer scale integration will only work with 99.99% yield, which won’t happen for 100 years” (Source: Wikipedia) Swap at logic cone granularity Negligible design and power penalty Redundant 1 above, no performance penalty Server-Farm in a Box Watson in a Smart Phone … Patents Pending

V. Multiple Layers Processed Simultaneously - Huge Cost Reduction (Nx) –”BICS” Multiple thin layers can be process simultaneously, forming transistors on multiple layers Cost reduction correlates with number of layers being simultaneously processed (2, 4, 8, 16, 32, 64, 128, ...)

IV. Heterogeneous Integration Logic, Memories, I/O on different strata Optimized process and transistors for the function Optimizes the number of metal layers Optimizes the litho. (spacers, older node) Low power, high speed (sequential, combinatorial) Different crystals – E/O

VII. Enables Modular Design Platform-based design could evolve to: Few layers of generic functions like compute, radios, and one layer of custom design Few layers of logic and memories and one layer of FPGA ...

Summary We have reached an inflection point Monolithic 3D IC – The next generation technology driver Breaking News – The barriers are now removed Multiple simple and practical paths to monolithic 3D exist Monolithic 3D provides more than just scaling